在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 19173|回复: 13

[求助] VCS和vlogan的区别

[复制链接]
发表于 2018-1-28 14:12:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如题,那位前辈可以帮助回答一下,最好能给出详细一点的介绍和例子。
发表于 2018-1-28 18:30:35 | 显示全部楼层
一般vcs simulation 有几种。
第一种是RTL全部是verilog,可以用"vcs" compile, "simv" run simulation.

第二种是RTL是VHDL和Verilog. 好像需要3 step process.
    1. vhdlan compile VHDL, 2. vlogan compile verilog. 3. vcs elaboration.
    如果是UVM testbench, uvm 和 RTL 需要分别compile, 否则会有问题。
 楼主| 发表于 2018-1-28 23:21:44 | 显示全部楼层
回复 2# yuanpin318


   多谢回复!我看懂您的回复了!
   我想再请教您一下,如果是验证环境,有system verilog和verilog。那么编译和仿真需要3 step process吗?需要用vlogan进行编译吗?   再次感谢!
发表于 2018-1-29 10:20:16 | 显示全部楼层
一般3 step都是mix language, 指的是VHDL+Verilog.
Systemverilog 算是verilog的最新发展。好像vcs compile时加+sv就可以一同编译了。没必要分开compile和elaboration。你一定要分开也是可以的。user guide有讲这方面的东西。要熟练使用,user guide是逃不过的。我遇到问题一般先找user guide,再去solvnet, 最后问support.
 楼主| 发表于 2018-1-29 10:36:29 | 显示全部楼层
回复 4# yuanpin318


    多谢!
我看了user guide,应该是不用vlogan。只是看到有人使用vlogan做compile,觉得有些奇怪。所以来请教一下!
发表于 2018-8-7 11:01:21 | 显示全部楼层
学习了
发表于 2018-11-2 12:41:02 | 显示全部楼层
多谢分享!
发表于 2020-2-25 14:31:47 | 显示全部楼层
二楼正解
发表于 2020-9-13 14:47:29 | 显示全部楼层
学习了
发表于 2021-2-27 12:46:11 | 显示全部楼层


yuanpin318 发表于 2018-1-28 18:30
一般vcs simulation 有几种。
第一种是RTL全部是verilog,可以用"vcs" compile, "simv" run simulation.


你好,请教一下:

     我正在编译一个文件,用vlogan编译没问题,但是用vcs编译就有问题,可能是啥原因?

    命令如下:
    vlogan -debug -q -work WORK +v2k +vcs+lic+wait -timescale=1ns/1ps pciebfm3_lib.vp
    vcs-debug -q -work WORK +v2k +vcs+lic+wait -timescale=1ns/1ps pciebfm3_lib.vp
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 21:22 , Processed in 0.046102 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表