在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2269|回复: 4

[求助] 关于task的一个问题请教

[复制链接]
发表于 2016-9-17 11:44:36 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在编写testbench时遇到一个问题,代码如下:
task pwm_output_1;
output high_side_pwm_in_o;
output low_side_pwm_in_o;
begin
        #5000
                high_side_pwm_in_o = 1;
                low_side_pwm_in_o =0;
end
endtask
task pwm_output_2;
output high_side_pwm_in_o;
output low_side_pwm_in_o;
begin
        #3000
                high_side_pwm_in_o = 0;
                low_side_pwm_in_o =1;
end
endtask
always @(posedge clk_t) begin
pwm_output_2 (high_side_pwm_in,low_side_pwm_in);
pwm_output_1 (high_side_pwm_in,low_side_pwm_in);
end
和下面这种情况比较
always @(posedge clk_t) begin
pwm_output_1 (high_side_pwm_in,low_side_pwm_in);
pwm_output_2 (high_side_pwm_in,low_side_pwm_in);
end
这两种情况只是把两个task在always 模块里的顺序改变了一下,得到了不同的波形,前面一种是先执行pwm_output_2后执行pwm_output_1,而后面一种是先执行pwm_output_1,后执行pwm_output_2,二者出来的波形都是方波,只是波形高低电平翻转的时刻不一样。
我的问题是:always语句是并行执行的,但为何在这里的仿真结果是顺序执行的?难道是因为调用task必须顺序调用?
 楼主| 发表于 2016-9-17 15:23:13 | 显示全部楼层
顶一下。
发表于 2016-9-18 09:56:34 | 显示全部楼层
我觉得你这个就相当于:
always@(pos clk)
begin
out<=a;
out<=b;
end

always@(pos clk)
begin
out<=b;
out<=a;
end
 楼主| 发表于 2016-9-19 10:30:10 | 显示全部楼层
回复 3# haimo
但是这个编译会报错的,我这段代码可以编译通过,仿真还有波形,所以很奇怪。
发表于 2016-9-19 11:06:21 | 显示全部楼层
两个always块是并行执行的,但每个always块的begin end块里的东西就是顺序执行的呀。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 01:52 , Processed in 0.028480 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表