在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1922|回复: 3

[讨论] vhdl 综合后网表的case语句的默认事件没执行

[复制链接]
发表于 2016-6-7 21:05:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 petrel87 于 2016-6-8 15:50 编辑

综合前代码,伪代码形态  .......




  1.    b1<=send_grp;
  2.             b2<=b1;
  3.             if rst='0'  then
  4.                 state<="10";
  5.                 send_grp<='0';
  6.                 else  if 上升沿 then
  7.                          case (state  )
  8.                          when "10"=>
  9.                               if  link='0'    then
  10.                               state<="00";
  11.                               end if;
  12.                          when "00"  =>
  13.                          跳到   01状态
  14.                           when "01"    =>
  15.                            跳到11状态
  16.                           when "11"  =>
  17.                           when others =>
  18.                                state<="10";
  19.                                send_grp<='0';
  20.                           end case;                 end if;


复制代码


关键性就是测试b2的输出。而b2源于send_grp。
综合以后我仿真了一下,给link有0或者1时,send_data_grp值是St1或St0,然后给me_wr 不定态x时,send_grp为不定态Stx.
貌似是因为语句

                                 if link='0' then
                              state<="00";
                              end if;            if无法判定link是不是0,所以state就是不定态,然后send_grp就是不定态。
问题: state如果是0x,1x,x1....这些,按默认others都应该会执行
                               when others =>
                               state<="10";
                               send_grp<='0';
  







 楼主| 发表于 2016-6-8 09:44:27 | 显示全部楼层
自己顶起来。。。。
发表于 2016-6-8 15:15:35 | 显示全部楼层
state是几个bit,如果是2bit, 4个状态都写满了还要啥default.
 楼主| 发表于 2016-6-8 15:52:24 | 显示全部楼层
回复 3# yaya126


   大神。因为这里好像默认的9值逻辑,0,1,z,x,h,w,l...这些的。貌似是被综合掉了。而这个默认branch的语句还很关键。怎么办啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 05:06 , Processed in 0.018675 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表