在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6607|回复: 5

[讨论] 帮忙看一下quartus的两条warning,关于PLL和时钟的,

[复制链接]
发表于 2016-6-5 21:16:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
11.png


RT,
Warning (332060): Node: clk50 was determined to be a clock but was found without an associated clock assignment.
Warning (332056): PLL cross checking found inconsistent PLL clock settings:

Warning (332056): Node: P1|altpll_component|auto_generated|pll1|clk[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000
 楼主| 发表于 2016-6-5 21:20:19 | 显示全部楼层
回复 1# xylion

还有,分配引脚之后最后我发现自动多了JTAG的几个相关东西,前辈们帮忙看一下怎么回事??

22.png
发表于 2016-6-5 21:53:28 | 显示全部楼层
本帖最后由 t28user 于 2016-6-5 21:56 编辑

回复 2# xylion

這個多出來的,我是把它給忽略掉。應該是保留給JTAG腳位使用。
发表于 2016-6-6 00:19:37 | 显示全部楼层
1:可能是没有sdc文件
2:或sdc文件没对这个时钟约束
 楼主| 发表于 2016-6-6 09:54:33 | 显示全部楼层
回复 4# a64thlon


   应该是的,我没进行时序约束,谢谢您!
 楼主| 发表于 2016-6-6 09:55:20 | 显示全部楼层
回复 3# t28user

嗯,谢谢您,我原先没注意到这个问题。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-29 06:55 , Processed in 0.020079 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表