在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3407|回复: 3

[求助] 关于系统写命令的疑问($fwrite, $fdisplay)

[复制链接]
发表于 2016-4-26 15:14:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 zhang_peng 于 2016-4-28 11:47 编辑

比如程序中有一个寄存器 a, 想在每个时钟周期的上升沿判断状态机的输出,如果当前状态是FULL的话,将a的值存入txt文件中。
reg [9:0]a;
reg [2:0]cs;

always @(posedge clk or negedge reset)
  if(!reset)a<=0;
  else if ...
  else if ...
  else ...

integer fp_w;
initial
  begin
      fp_w=$fopen("C:/program_vivado/lzw_compression_4kx2/lzw_compression/Graph.txt", "w");
  end

always @(posedge clk)
    if(cs==FULL)
       begin
           $fwrite(fp_w,"%d\n",a);
       end


数据一直写不进去。
请问 有条件的执行 $fwrite 或者 $fdisplay 的语句应该怎么写?
发表于 2016-4-26 17:24:57 | 显示全部楼层
本帖最后由 harry_hust 于 2016-4-26 17:26 编辑

回复 1# zhang_peng


   就是这样用的,fwrite不行的话就用fdisplay试试,要还是不行,得看看是不是逻辑问题。
发表于 2016-4-26 23:08:17 | 显示全部楼层
没有
$fclose(fp_w);
发表于 2016-4-27 09:53:46 | 显示全部楼层
定义 integer fp_w
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 02:46 , Processed in 0.018620 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表