在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13604|回复: 68

[资料] Debussy/Verdi快速上手

[复制链接]
发表于 2016-3-10 09:24:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

Debussy/Verdi是NOVAS Software, Inc(思源科技)发展的hdl Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,即时做trace,协助工程师debug。

Debussy 仿真快速上手教程.doc (356.5 KB, 下载次数: 622 )


发表于 2016-3-10 18:51:59 | 显示全部楼层
kankan
发表于 2016-3-11 11:17:28 | 显示全部楼层
kankan
发表于 2016-3-21 21:56:43 | 显示全部楼层
感谢楼主分享
发表于 2016-3-28 14:58:40 | 显示全部楼层
回复 4# zhszzhszzhsz


   有Verdi的吗?
发表于 2016-4-14 17:03:13 | 显示全部楼层
感谢楼主分享
发表于 2016-4-15 12:22:47 | 显示全部楼层
感谢楼主分享
发表于 2016-4-16 09:46:11 | 显示全部楼层
谢谢分享
发表于 2016-4-17 15:13:05 | 显示全部楼层
谢谢楼主
发表于 2016-4-18 10:30:20 | 显示全部楼层
have a look
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 01:43 , Processed in 0.031304 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表