在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: kingyuan

[资料] Debussy/Verdi快速上手

[复制链接]
发表于 2016-11-28 13:49:11 | 显示全部楼层
感谢楼主分享
发表于 2016-12-19 14:04:09 | 显示全部楼层
谢谢楼主分享!
发表于 2016-12-27 18:04:26 | 显示全部楼层
回复 1# kingyuan

good!
发表于 2017-3-14 21:09:28 | 显示全部楼层
看一看.谢谢.
发表于 2017-3-16 17:05:05 | 显示全部楼层
看看,谢谢
发表于 2017-3-17 23:01:29 | 显示全部楼层
回复 1# kingyuan


   众里寻她,得之,喜!
发表于 2017-3-28 22:24:02 | 显示全部楼层
666666666666666
发表于 2017-4-7 22:30:14 | 显示全部楼层
感谢楼主分享
发表于 2017-5-25 17:37:00 | 显示全部楼层
[资料] Debussy/Verdi快速上手

Debussy/Verdi是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,即时做trace,协助工程师debug。
  Debussy 仿真快速上手教程.doc (356.5 KB)
发表于 2017-7-3 09:49:32 | 显示全部楼层
先收藏
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 23:43 , Processed in 0.029018 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表