在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6261|回复: 7

[求助] 关于DC综合遇见的warning问题

[复制链接]
发表于 2015-7-28 15:55:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
check_desgin发现几处warning

Cells                                                              30

    Cells do not drive (LINT-1)                                    13

    Connected to power or ground (LINT-32)                         16

    Nets connected to multiple pins on same cell (LINT-33)          1


Nets                                                               49

    Unloaded nets (LINT-2)                                         49

warning太多我就不粘贴出来了。下面是我DC综合的脚本。

analyze -format verilog dsp_0000.v
analyze -format verilog dsp_0001.v
analyze -format verilog dsp_fffe.v
analyze -format verilog dsp_ffff.v


analyze -format verilog Mon.v
# analyze -library DW02_mult_3_stag
# define_design_lib  DW02_mult_3_stag -path /home/soc_test/ss/dw/dw02/lib/dw02



elaborate Mon

current_design Mon

link
uniquify
check_design
set_wire_load_model -name smic18_wl10
set_wire_load_mode enclosed
set_operating_conditions -analysis_type bc_wc -max slow -max_library slow -min fast -min_library fast
create_clock -period 20 -waveform {0 10} clk
set_clock_latency 2.0 [get_clocks clk]
set_clock_uncertainty -setup 1 [get_clocks clk]
set_clock_uncertainty -hold 0.1 [get_clocks clk]
set_clock_transition 0.1 [get_clocks clk]
set_dont_touch_network [list clk]


set_driving_cell -cell BUFX3 -pin Y [all_inputs]
set_drive 0 [list clk]


set_input_delay 5.0 -clock clk -max [all_inputs]
set_output_delay 3.0 -clock clk -max [all_outputs]


set_max_area 0

set_load 0.2 [all_inputs]
# set_max_dynamic_power 0 mW

# link
# uniquify

# set_structure -timing true -boolean true
# compile -map_effort high -area_effort medium -exact_map

# change_names -rule verilog -hier
# set verilogout_no_tri true
# set_fix_multiple_port_nets -all
# write -format verilog -hierarchy -output Adder.v
compile -map_effort high -area_effort medium -exact_map
current_design Mon
write -format verilog -hierarchy -output Mon_test.v

望各位大侠解决我这些warning咋解决啊。后续还有一些warning,先一点点解决吧。哎。。。。。跪求啊

 楼主| 发表于 2015-7-28 16:19:43 | 显示全部楼层
回复 1# yi4105635


   大神们顶起来啊,求解答啊  ,,,,
发表于 2015-7-28 18:08:20 | 显示全部楼层
初学者 基本可以ignore 所有warning,直到碰到error再停下来研究,否则没完没了了,
 楼主| 发表于 2015-7-29 09:04:49 | 显示全部楼层
回复 3# icfbicfb

噢,谢谢版主提醒哈。
发表于 2015-7-29 11:17:52 | 显示全部楼层
建议man一下后面括号里的,DC会给你说怎么回事warning,并给出可能的解决方法。
 楼主| 发表于 2015-7-29 14:54:30 | 显示全部楼层
回复 5# IC_creature


   我man了,但是DC说的没看明白,貌似是跟RTL有关,我想问下,您综合的时候遇到这些WARNING吗?  这些warning属于正常优化还是会影响我综合网表的结果。  我再仔细看看man 的哈。 谢谢你
发表于 2015-7-29 19:24:28 | 显示全部楼层
回复 6# yi4105635


    肯定是遇见过的,基本上属于正常优化吧,作为一个设计者,尽管某些警告无关紧要,但你必须清楚这些warning是怎么回事,
比如lint-32就是报告一些引脚接零或者一。这些你必须check好,确定它确实该这样就OK了
 楼主| 发表于 2015-7-30 09:00:31 | 显示全部楼层
回复 7# IC_creature


   好的。我再好好琢磨下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 23:47 , Processed in 0.032160 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表