在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1401|回复: 2

[求助] modelsim 编译.vhd文件问题

[复制链接]
发表于 2015-6-19 12:09:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
用modelsim 执行vcom  ../sim/sim_fli.vhd

显示如下:
# ** Error: Library std not found.
# ** Error: Vhdl Compiler exiting
# ** Error: D:/modeltech_10.1a/win32/vcom failed.
# Error in macro ./sim.do line 50
# D:/modeltech_10.1a/win32/vcom failed.
#     while executing
# "vcom  ../sim/sim_fli.vhd"

谁碰见过这个问题,帮忙分析解决下。
 楼主| 发表于 2015-6-19 13:36:51 | 显示全部楼层
64位系统软件可以编译过,32位系统软件就不行
 楼主| 发表于 2015-6-30 17:00:43 | 显示全部楼层
问题解决了。modelsim配置文件不对。修改一下就ok
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 15:09 , Processed in 0.024062 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表