在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5544|回复: 3

新手求助一个关于VHDL编程的问题

[复制链接]
发表于 2007-4-17 10:46:39 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我编写了下列程序,想实现在dataout信号的下降沿时对信号a复值,但这些信号都是在时钟的上升沿采样的。但出现了下列的错误提示,应该怎样写呢?
process(clock)
   begin
   if clock'event and clock='1' then
      if dataout'event and dataout='0' then
        a<='1';
         else
            a<=b;
      end if;
end if;
end process p1;
Error: Vhdl error at wc.vhd(23): can't infer register for signal "a" because signal does not hold its value outside clock edge
发表于 2007-4-17 11:12:05 | 显示全部楼层


原帖由 txmfxf 于 2007-4-17 10:46 发表
我编写了下列程序,想实现在dataout信号的下降沿时对信号a复值,但这些信号都是在时钟的上升沿采样的。但出现了下列的错误提示,应该怎样写呢?
一个进程里不可以有2个沿触发的描述

process(clock)
   begin
   if clock'event and clock='1' t ...


architecture behav of your_design is
  
signal tmp: std_logic;存储dataout的副本
signal dataout_rise: std_logic; dataout的下降沿脉冲
begin
process(clock)
   begin
   if clock'event and clock='1' then
      if (dataout_rise='1') then
        a<='1';
       else
            a<=b;
      end if;
end if;
end process p1;
process(clock)
  begin
     if clock'event and clock='1' then
        tmp <=dataout;
     end if;
end process;
dataout_rise<='1' when (dataout='0' and tmp='1') else
              '0';            
end behav;
 楼主| 发表于 2007-4-17 12:21:50 | 显示全部楼层
谢谢版主!
发表于 2007-4-17 12:46:54 | 显示全部楼层
真的很好哈,很巧妙!~~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-29 17:52 , Processed in 0.074468 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表