在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3547|回复: 9

[求助] verilog always综合出来的信号没有经过触发器

[复制链接]
发表于 2014-12-30 11:23:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
always @( r_MAS_FSM ) begin                             
        case ( r_MAS_FSM )                                 
            P_MAS_IDLE      :  r_DATA_O <= 1'b0 ;           
            P_MAS_START     :  r_DATA_O <= 1'b0 ;           
            P_MAS_TX        :  r_DATA_O <= r_WR_SHF[7] ;   
            P_MAS_TX_ACK    :  r_DATA_O <= 1'b0 ;           
            P_MAS_DONE      :  r_DATA_O <= 1'b1 ;           
            default         :  r_DATA_O <= 1'b0 ;           
        endcase                                             
    end                                                     

对于这个语句我在实际综合后查看电路发现最终输出的r_DATA_O根本没有经过触发器
也就是说这个语句综合产生的电路和我用assign产生的没有区别,都是组合逻辑,是这样的吗?
对于always语句是不是只有敏感条件是上升沿或者下降沿的时候综合之后才会经过D触发器
否则产生出来的还是组合逻辑电路,是不是这样的情况?
有谁知道的能告诉一下哇,多谢各位了?
发表于 2014-12-30 12:00:13 | 显示全部楼层
要有时钟。。多看看书。少问低级问题。
 楼主| 发表于 2014-12-30 12:45:05 | 显示全部楼层
回复 2# A1985
一般代码上always用 always @(posedge SYS_CLK or negedge XRST) begin就是组合逻辑加寄存器。我的意思是既然上面定义的r_DATA_O是寄存器信号,那么出来应该是要经过寄存器的,现在出来的是不进过寄存器的,那么就有矛盾了。
发表于 2014-12-30 13:26:02 | 显示全部楼层
回复 3# Jason_xx

名字是死的,人是活的,而且,写组合逻辑用《=也不符合规矩,不过不影响综合工具,因为工具是活的,比你死理解强多了。。多看点书。
发表于 2014-12-30 18:02:42 | 显示全部楼层
回复 1# Jason_xx


    嗯,只有敏感表里边沿触发的时候才会产生触发器,否则就是组合逻辑
    或者if语句条件不完全的时候会产生锁存器
发表于 2014-12-30 18:05:07 | 显示全部楼层
回复 2# A1985

得是跳变沿才行吧,工具也不会看到clk就以为是时钟,得有posedge才行
发表于 2014-12-30 18:06:55 | 显示全部楼层
回复 3# Jason_xx

reg类型的不一定都会生成寄存器,像你写的这种情况就会生成组合逻辑
reg和wire在代码上的区别是wire用assign定义,reg要写在过程块里
发表于 2014-12-31 11:23:14 | 显示全部楼层
verilog语言里并不是定义为reg就综合出触发器的。
但要想综合出触发器必须定义为reg。
发表于 2015-1-1 13:45:27 | 显示全部楼层
连时钟都没有
发表于 2015-1-1 13:46:47 | 显示全部楼层
连时钟还要有沿
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 20:47 , Processed in 0.033776 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表