在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6205|回复: 2

SDF Back Annotate

[复制链接]
发表于 2007-4-4 16:26:36 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
hi, everyone
i am doing post-layout simulation with a SDF file generated by
PrimeTime command
    "write_sdf"
and i back annotated the sdf file to my design using the system task
$sdf_annotate by:
    $sdf_annotate("sdf_file_name", cell_instance) ;
and i found that ModelSim will not annotate the delay info to my
design, and the warning info is as follow
    Warning: (vsim-SDF-3924) sdf_filename: Out of 11085 SDF
statements, 11085 had null values.
I have not succeeded in shooting this warning information about this
warning in ModelSim's manuals. And the Waveform generated shows that
there is no timing info except the specify in the verilog library.
At last, i found that if i annotate the sdf file by using the ModelSim
command
    vsim -sdfmax
or
    vsim -sdfmin
and ModelSim echoes the info showing that sdf info have been
annotated, and the waveform is ok.
Is anyone can show me something about the $sdf_annotate, esp. about
how ModelSim supporting this task.
And can anyone be kind enough to tell me about what the sdf type (typ,
max, min) mean?
Due to the network problem, i can not view many sites googled, so it
would be appreciated very much that anyone emailing me an emacs
verilog-mode file and other information.
Thanks a lot.
YQ Yang
发表于 2007-4-4 16:34:54 | 显示全部楼层
You can make a small test case and to see if it is SDF format issue.

Normally we dont use primetime's SDF directly for post-simulation. There might need some format alignment.
发表于 2007-4-23 21:43:33 | 显示全部楼层

这样的

让我仔细看看
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 01:17 , Processed in 0.021595 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表