在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2405|回复: 5

[求助] 16位乘法器的仿真问题

[复制链接]
发表于 2014-10-22 20:54:29 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 塞外飞侠 于 2014-10-23 20:29 编辑

源代码:
module mux16(
        clk,rst_n,
        start,ain,bin,
        yout,done
    );
input clk; //芯片的时钟信号
input rst_n; //复位清零信号,低电平有效
input start; //芯片的使能信号
input[15:0] ain;
input[15:0] bin;

output[31:0] yout;
output done; //芯片输出标志信号,定义为1时候表示乘法运算完成
//-----------------------------------------------
reg[15:0] areg; //乘数a的寄存器
reg[15:0] breg; //乘数b的寄存器
reg[31:0] yout_r; //乘积寄存器
reg done_r;
reg[4:0] i; //移位次数寄存器
//-------------------------------------------
//数据位控制
always @ (posedge clk or negedge rst_n)
        if(!rst_n) i<=5'd0;
        else if(start && i< 5'd17) i<= i+1'b1;//i=1,2,3...15,16;
        else if(!start) i<=5'd0;//高电平触发清零
//---------------------------------------------------
//乘法运算完成标志信号产生
always @ (posedge clk or negedge rst_n)
        if(!rst_n) done_r<=1'b0;
        else if(i==5'd16) done_r<=1'b1; //乘法运算完成标志
        else if(i==5'd17) done_r<=1'b0; //标志位撤销
assign done=done_r;
//---------------------------------------------------
//专业寄存器进行移位累加运算
always @ (posedge clk or negedge rst_n)begin
        if(!rst_n) begin //启动运算
                areg<=ain; //锁存乘数,被乘数
                breg<=bin;
           end
        else if(i>5'd0 && i<5'd16) begin
                if(areg[i-1]) yout_r={1'b0,yout[30:15]+breg,yout_r[14:1]}; //右移,并相加
                else yout_r<=yout_r>>1; //移位
           end
        else if(i==5'd16 && areg[15]) yout_r[31:16]<=yout_r[31:16]+breg;
end
assign yout=yout_r;
endmodule
======================
测试脚本:
`timescale 10 ns/ 100 ps
module mux16_vlg_tst();

reg [15:0] ain;
reg [15:0] bin;
reg clk;
reg rst_n;
reg start;
// wires                                               
wire done;
wire [31:0]  yout;

               
mux16 i1 (
        .ain(ain),
        .bin(bin),
        .clk(clk),
        .done(done),
        .rst_n(rst_n),
        .start(start),
        .yout(yout)
);
initial begin                                                  
        clk = 0;
        forever
        #10 clk = ~clk;      
     end                                                   

initial begin

                rst_n = 1'b0;
                start = 1'b0;
                ain = 16'd0;
                bin = 16'd0;
                #1000;
                rst_n = 1'b1;
               
                #1000;
                ain = 16'd22;
                bin = 16'd33;
                #100;
                start =1'b1;
                #450_0000;
                start =1'b0;
                #1000_0000;
                $stop;
end                                                   
endmodule
===============================
仿真结果:
QQ截图20141022210056.jpg
问题是仿真的结果不对,他不应该显示‘X’,而应该计算出值;
QQ截图20141022210056.jpg
发表于 2014-10-23 12:33:01 | 显示全部楼层
不知道问题是什么?
发表于 2014-10-23 13:31:46 | 显示全部楼层
mux16是哪里来的,把内部信号抓出来看看!
 楼主| 发表于 2014-10-23 20:26:19 | 显示全部楼层
回复 2# gaozhiqiang


    问题是,仿真结果没有输出值,他的值不对,显示的是“X”;没有计算正确的结果
 楼主| 发表于 2014-10-23 20:38:35 | 显示全部楼层
回复 2# gaozhiqiang


    对不起,之前发的有问题,更新了,谢谢
 楼主| 发表于 2014-10-23 20:39:38 | 显示全部楼层
回复 3# henryshen2000

对不起,慌乱中,源代码发错了,现在更新了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 19:26 , Processed in 0.072295 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表