在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2015|回复: 0

[原创] 32位流水线加法器verilog

[复制链接]
发表于 2014-10-11 12:19:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
/*32bit pipeline adder*/
`timescale 1ns / 1ps
module Pipel_ADD(clk,a,b,cin,cout,sum);
//I/O define
  input [31:0] a;
  input [31:0] b;
  input clk;
  input cin;
  output cout;
  output [31:0] sum;
//data type
  reg    [31:0] sum;
  reg  cout;
  reg [31:0] tempa,tempb;
  reg tempcin;
//First level
  reg [23:0] a1,b1;
  reg co1;
  reg [7:0] sum1;
//Second level
  reg [15:0] a2,b2;
  reg co2;
  reg [15:0] sum2;
//Third level
  reg [7:0] a3,b3;
  reg co3;
  reg [23:0] sum3;
/***********code*********/
always@(posedge clk)
  begin
   tempa<=a;
   tempb<=b;
   tempcin<=cin;
  end
//First
always@(posedge clk)  
  begin
   {co1,sum1}<=9'b0+tempa[7:0]+tempb[7:0]+tempcin;
           a1<=tempa[31:8];

   b1<=tempb[31:8];
  end
//Second
always@(posedge clk)
  begin
   {co2,sum2}<={9'b0+a1[7:0]+b1[7:0]+co1,sum1};
           a2<=a1[23:8];

     b2<=b1[23:8];
  end
//Third
always@(posedge clk)
  begin
   {co3,sum3}<={9'b0+a2[7:0]+b2[7:0]+co2,sum2};
           a3<=a2[15:8];

     b3<=b2[15:8];
  end
//Forth
always@(posedge clk)
  begin
   {cout,sum}<={9'b0+a3[7:0]+b3[7:0]+co3,sum3};
  end
endmodule
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 23:04 , Processed in 0.021235 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表