在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1971|回复: 2

[求助] 如何禁止ENCOUNTER中DATA TO DATA CHECK

[复制链接]
发表于 2014-10-8 15:03:48 | 显示全部楼层 |阅读模式
100资产
使用EDI做PR,工具会进行时序分析,有一个VIOLATION怎么也抹不掉,报告如下

Path 1: VIOLATED Data To Data Setup Check with Pin MI5/X151_X3/Q_reg/RN
Endpoint:   MI5/X151_X3/Q_reg/S (v) checked with  leading edge of 'SPI_CLK'
Beginpoint: SPI_LE              (^) triggered by  leading edge of 'SPI_CLK'
Path Groups:  {in2reg}
Other End Arrival Time          0.959
- Data Check Setup              0.192
+ Phase Shift                   0.000
= Required Time                 0.767
- Arrival Time                  9.567
= Slack Time                   -8.800
     Clock Rise Edge                      0.000
     + Input Delay                        8.000
     + Drive Adjustment                   0.279
     = Beginpoint Arrival Time            8.279
     Timing Path:
     +------------------------------------------------------------------------------------------------------+
     |              Instance               |     Arc     |    Cell     |  Slew | Delay | Arrival | Required |
     |                                     |             |             |       |       |  Time   |   Time   |
     |-------------------------------------+-------------+-------------+-------+-------+---------+----------|
     |                                     | SPI_LE ^    |             | 0.676 |       |   8.279 |   -0.521 |
     | FE_OCP_RBC759_SPI_LE                | A ^ -> Z ^  | BUFFER_L    | 0.085 | 0.174 |   8.453 |   -0.346 |
     | u_CONTROL/FE_RC_1035_0              | D ^ -> Z ^  | OR4_J       | 0.216 | 0.241 |   8.694 |   -0.105 |
     | u_CONTROL/FE_OCP_RBC966_X428_Z      | A ^ -> Z v  | INVERT_N    | 0.235 | 0.153 |   8.847 |    0.048 |
     | MI26/MI117_X3/X162/M32              | A v -> Z v  | XNOR2_J     | 0.193 | 0.339 |   9.186 |    0.386 |
     | MI118/X1619_X3/U1                   | A v -> Z ^  | INVERTBAL_H | 0.082 | 0.062 |   9.248 |    0.449 |
     | MI3/X1620_X3/U1                     | A1 ^ -> Z v | AOI21_C     | 0.303 | 0.167 |   9.416 |    0.616 |
     | MI5/X151_X3/FE_OCP_RBC1343_BUS4_19_ | A v -> Z ^  | INVERTBAL_H | 0.112 | 0.079 |   9.494 |    0.695 |
     | MI5/X151_X3/U3                      | A ^ -> Z v  | NOR2_E      | 0.108 | 0.072 |   9.567 |    0.767 |
     | MI5/X151_X3/Q_reg                   | S v         | DFFSR_E     | 0.108 | 0.000 |   9.567 |    0.767 |
     +------------------------------------------------------------------------------------------------------+
     Clock Rise Edge                      0.000
     = Beginpoint Arrival Time            0.000
     Other End Path:
     +--------------------------------------------------------------------------------------------+
     |         Instance         |     Arc      |    Cell     |  Slew | Delay | Arrival | Required |
     |                          |              |             |       |       |  Time   |   Time   |
     |--------------------------+--------------+-------------+-------+-------+---------+----------|
     |                          | SPI_CLK ^    |             | 0.000 |       |   0.000 |    8.799 |
     | MI180/MI93_X3/X136/Q_reg | CLK ^ -> Q v | DFFR_K      | 0.129 | 0.362 |   0.362 |    9.162 |
     | MI26/MI117_X3/X162/M32   | B v -> Z ^   | XNOR2_J     | 0.222 | 0.360 |   0.723 |    9.522 |
     | MI118/X1619_X3/U1        | A ^ -> Z v   | INVERTBAL_H | 0.080 | 0.060 |   0.782 |    9.582 |
     | MI3/X1620_X3/U1          | A1 v -> Z ^  | AOI21_C     | 0.359 | 0.176 |   0.958 |    9.758 |
     | MI5/X151_X3/Q_reg        | RN ^         | DFFSR_E     | 0.359 | 0.000 |   0.959 |    9.758 |
     +--------------------------------------------------------------------------------------------+
下面图片是相对应路径的原理图

                               
登录/注册后可看大图
  下载 (5.05 MB)
schematic
2014-8-22 15:29


SCHEMATIC

SCHEMATIC


我在用DC综合的时候,没有任何timing违例,时序很好,可是到了EDI里面,却报告了上面的violation,而且所有violation都出现在触发器的SET和RESET端口上,请问这是怎么回事?为什么DC时候是好的,为什么PR的时候抱着个错误,该如何修正,如何让EDI忽略这么路径?谢谢大家帮忙了

发表于 2014-10-9 08:07:24 | 显示全部楼层
false
path
 楼主| 发表于 2014-10-9 09:54:59 | 显示全部楼层
回复 2# icfbicfb


    先感谢版主的回复,我是在SDC文件中加了一些SET_FALSE_PATH的命令来约束,
命令是
SET_FALSE_PATH -TO [GET_PINS DFF*/S]
SET_FALSE_PATH -TO [GET_PINS DFF*/R]
我也发现了Encounter的报告中的一些变化,在CONSOL中显示的timing violation路径减少了,但是使用Timing-Debug Timing的话,Violation路径还是那么多,而且也没有被优化,在Path Category中有了一个default_report_false_path_only的组,这个组里都是那些被添加false path的路径,而且都是存在Violation的.我想请问斑主,我是否已经成功的添加了false path的约束了呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-25 20:34 , Processed in 0.023377 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表