在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2439|回复: 2

[求助] 关于timing check的edge

[复制链接]
发表于 2014-9-17 16:15:58 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 lyz543212 于 2014-9-17 16:18 编辑

create_clock -name ck1 -period 0.454 -waveform { 0 0.227 } [get_ports { port_name }]
create_generated_clock -name ck2 -divide_by 2 -source [get_ports { port_name }  \
  [get_pins {  pin_name  }]

现在有一条feedthrough path,input port的launch clock为ck2, output port的capture clock为ck1.

  Startpoint: input_port_name
              (input port clocked by ck2)
  Endpoint: output_port_name
            (output port clocked by ck1)

clock ck2 (rise edge)                                                                                  0.908      

clock ck1 (rise edge)                                                                                  1.362

按理说 check的edge应该是 0 到 0.454 , 如下图 ,但是实际的report却是从0.908到1.362, 虽然timing的差值是一样的,但是感觉有问题。
       Image 2.png

有没有类似的sdc 使得这种check发生偏移?
发表于 2014-9-25 16:28:39 | 显示全部楼层
我记得是有什么option 可以使之改成从0开始计算吧?
发表于 2014-9-25 17:36:39 | 显示全部楼层
tool 沒錯, clk1 在 time 0.454 時 clk2 是 rising edge 嗎?
generated_clock domain 的 endpints timing check 本來就是要貼上 master_clock 的週期.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 11:53 , Processed in 0.021793 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表