在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 11033|回复: 17

[求助] 求助,starRC提的spef文件问题【已解决】

[复制链接]
发表于 2014-9-13 15:18:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 ICSYS 于 2014-9-15 15:50 编辑

     想请教一下各位牛人,我用starRC抽取RC参数生成spef网表,目前网表里的问题是有ln_前缀的net名,导致后续Primetime无法读取文件做时序分析,造成的原因查询到时因为版图里的net没有通过LVS验证或者在nxf文件里没有该net,因此starrc会以ln_的形式表示出来(可是gds是通过了LVS验证的,没有问题。),想问一下怎么消掉这个ln_?谢谢。。
发表于 2014-9-14 15:04:53 | 显示全部楼层
你starrc flow怎么用的, star.cmd帖出来看看, flow有问题
 楼主| 发表于 2014-9-15 10:51:56 | 显示全部楼层
我是用lef/def flow提参数,提示这样的。。。



Map Layers:  Mon Sep 15 10:45:51 2014
  NOT RUNNING Layers!!  Using previous results.
   Previous Layers Elp=00:00:00 Cpu=00:00:00 Usr=0.3 Sys=0.0 Mem=97.5
Done

Create Models:  Mon Sep 15 10:45:54 2014
  NOT RUNNING Models!!  Using previous results.
   Previous Models Elp=00:00:00 Cpu=00:00:00 Usr=0.0 Sys=0.0 Mem=55.7
Done

Build HN:  Mon Sep 15 10:45:57 2014
  NOT RUNNING HN!!  Using previous results.
   Previous HN Elp=00:00:00 Cpu=00:00:00 Usr=0.2 Sys=0.0 Mem=78.3
Done

Process Cells:  Mon Sep 15 10:45:57 2014
  NOT RUNNING Cells!!  Using previous results.
   Previous Cells Elp=00:00:00 Cpu=00:00:00 Usr=0.3 Sys=0.0 Mem=57.3
Done

Translate DB:  Mon Sep 15 10:45:57 2014
  NOT RUNNING Translate!!  Using previous results.
   Previous Translate Elp=00:00:00 Cpu=00:00:00 Usr=0.2 Sys=0.0 Mem=76.4
Done

Netlist Setup:  Mon Sep 15 10:45:57 2014
  NOT RUNNING NetlistSetup!!  Using previous results.
   Previous NetlistSetup Elp=00:00:00 Cpu=00:00:00 Usr=0.0 Sys=0.0 Mem=55.7
Done

xTract DB:  Mon Sep 15 10:46:00 2014
  NOT RUNNING xTract!!  Using previous results.
   Previous xTract Elp=00:00:18 Cpu=00:00:17 Usr=17.6 Sys=0.1 Mem=206.6
Done

xTract Post Process DB:  Mon Sep 15 10:46:03 2014
  NOT RUNNING xTractPP!!  Using previous results.
   Previous xTractPP Elp=00:00:00 Cpu=00:00:00 Usr=0.0 Sys=0.0 Mem=37.5
Done

Netlist DB:  Mon Sep 15 10:46:06 2014
  NOT RUNNING Netlist!!  Using previous results.
   Previous Netlist Elp=00:00:00 Cpu=00:00:00 Usr=0.1 Sys=0.0 Mem=60.4
Done
  
  
Done          Elp=00:00:00 Cpu=00:00:00 Usr=0.0    Sys=0.0    Mem=0.0   

End Time: Mon Sep 15 10:46:12 2014
 楼主| 发表于 2014-9-15 10:58:02 | 显示全部楼层
回复 2# icfbicfb


  * TechReportLEF_FILE: /home/RCXT/tcbnbwp12thvt10lm7X2ZRDL.lef(库lef,tech lef&macro lef)
TOP_DEF_FILE: /home/RCXT/tx.def(encounter吐出来)
BUS_BIT: []
TCAD_GRD_FILE: /home/RCXT/starrcxt.nxtgrd
MAPPING_FILE: /home/RCXT/xt.mapping
EXTRACTION: RC
TARGET_ANALYSIS: NONE
COUPLE_TO_GROUND: YES
COUPLING_MULTIPLIER: 1
LEF_USE_OBS: YES
NETLIST_FORMAT: SPEF
COUPLING_ABS_THRESHOLD: 3e-15
COUPLING_REL_THRESHOLD: 0.03
COUPLING_AVG_THRESHOLD:
COUPLING_THRESHOLD_OPERATION: AND
COUPLING_REPORT_NUMBER: 1000
发表于 2014-9-15 13:43:22 | 显示全部楼层
没看出什么致命问题,  couple_to_ground 应该选no吧,

pt啥error, 觉得还是网表,spef对不上,
 楼主| 发表于 2014-9-15 14:53:15 | 显示全部楼层
本帖最后由 ICSYS 于 2014-9-15 15:51 编辑

回复 5# icfbicfb


   之前用CCI flow做的,问题很多,发现这个不行。换了提取流程。

======================================
提出来了。删掉多余金属层就行了。
发表于 2014-9-15 17:29:49 | 显示全部楼层
用普通flow吧,CCI是给gds level用的,
发表于 2016-7-27 16:42:31 | 显示全部楼层
回复 2# icfbicfb


    版主您好,请教下您关于starc的问题。
我的flow
* TechReport


BLOCK: trng101_0727
MILKYWAY_DATABASE: /home/shyw/ring_trng_0722/icc/pr/TRNG_0726.mw

BUS_BIT: []
MAPPING_FILE: /home/shyw/ring_trng_0722/starrc/StarRC_013G_1P6M_5Ic_1TMc_ALPA_MIM1fF_V2.6_0P/SNPS_flow/StarRC_013G_1P6M_5Ic_1TMc_ALPA_MIM_cell.map
TCAD_GRD_FILE: /home/shyw/ring_trng_0722/starrc/StarRC_013G_1P6M_5Ic_1TMc_ALPA_MIM1fF_V2.6_0P/NXTGRD/StarRC_013G_1P6M_5Ic_1TMc_ALPA_MIM1fF_CMAX.nxtgrd
COUPLE_TO_GROUND: NO
STAR_DIRECTORY: star_max
REMOVE_FLOATING_NETS: YES
POWER_PORTS: VDD VSS
SKIP_CELLS: *
NETLIST_FILE: trng101_0727_max.spef
NETLIST_FORMAT: SPEF


我的错误:
         incorrect. (SX-2402)
WARNING: Logical pin port "'Ready'" in BLOCK is not placed in the layout.
         Creating a virtual PIO geometry. Placement for this pin in parasitic netlist might be
         incorrect. (SX-2402)
WARNING: Logical pin port "'Bit_Out'" in BLOCK is not placed in the layout.
         Creating a virtual PIO geometry. Placement for this pin in parasitic netlist might be
         incorrect. (SX-2402)
WARNING: Logical pin port "'count_calc0'" in BLOCK is not placed in the layout.
         Creating a virtual PIO geometry. Placement for this pin in parasitic netlist might be
         incorrect. (SX-2402)
ERROR: 'Dimension of or spacing between layout points is too large; subtraction overflow. Polygon
       -2146953449 0 -2146953445 4 last left 1194375 last bottom -2146953649 (all units are
       nanometers)' (SX-2720)
ERROR: Process Cells Failed (see file 'cells.sum') (SX-0255)
发表于 2016-11-25 09:57:28 | 显示全部楼层
回复 8# yi4105635

你这个ERROR解决了吗
发表于 2016-11-25 11:30:33 | 显示全部楼层
回复 9# 龙溪小泮


    我已经弃疗了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 09:11 , Processed in 0.031594 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表