买了UVM实战,这本书,现在有些问题要问,请看下面代码
各位验证高手,代码如下:
class test1 extends uvm_test;
`uvm_component_utils(test1);
env t_env;
function new (string name="test1", uvm_component parent=null);
super.new (name, parent);
t_env = new("t_env",this);
endfunction : new
问题:
类型 uvm_component parent 为什么是 null?之后又 调用父类 super构造函数
super.new (name, parent) 这里面的parent是空NULL吗?我的理解调用父类构造函数new,其参数parent=NULL,为什么这里给
要赋给NULL?什么条件下给NULL? |