|
发表于 2014-11-14 17:55:36
|
显示全部楼层
回复 191# sunyzs
回答第二个问题。
看了my_config的源码。
- `ifndef MY_CONFIG__SV
- `define MY_CONFIG__SV
- class my_config extends uvm_object;
- `uvm_object_utils(my_config)
- virtual my_if vif;
- function new(string name = "my_config");
- super.new(name);
- $display("%s", get_full_name());
- if(!uvm_config_db#(virtual my_if)::get(null, get_full_name(), "vif", vif))
- `uvm_fatal("my_config", "please set interface")
- endfunction
- endclass
- `endif
复制代码
是不是应该这样写?
cfg = my_config::type_id::create(“cfg”); |
|