|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
- module tb();
- integer fp_r, fp_w, cnt;
- reg [7:0] reg1;
- initial begin
- fp_r = $fopen("data_in.txt", "r");
- fp_w = $fopen("data_out.txt", "w");
- while(!$feof(fp_r)) begin
- cnt = $fscanf(fp_r, "%d", reg1);
- $display("%d", reg1);
- $fwrite(fp_w, "%d\n", reg1);
- end
- $fclose(fp_r);
- $fclose(fp_w);
- end
- endmodule
复制代码 现在是,上面代码运行下来,data_out.txt会多输出一行。
即,如果data_in.txt内容是
10
20
30
那么,data_out.txt结果就是
10
20
30
30
求助各位高手,是什么问题? |
|