在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6891|回复: 5

[讨论] 对set_clock_gating_check命令的疑惑???

[复制链接]
发表于 2014-5-25 21:38:56 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在DC综合过程中,假如设计中没有加入clock_gate,那么是否需要对设计用命令set_clock_gating_check进行检查呢?
如果进行检查就会出现如下的warning:
Warning: No controlling value could be found for the clock gating cell 'q_clk_gen/U3' for the clock pin 'B1'. (TIM-128)
Warning: Gated clock latch is not created for cell 'q_clk_gen/U7'on pin 'B0' in design '***_digital'. (TIM-141)
如果不用命令set_clock_gating_check对设计进行检查,就不会再log中报出上面的两个warning,请大家帮分析分析!!
 楼主| 发表于 2014-5-26 09:47:57 | 显示全部楼层
自己顶一下!!
发表于 2014-5-26 17:31:13 | 显示全部楼层
ignore,
 楼主| 发表于 2014-5-27 21:02:28 | 显示全部楼层
回复 3# icfbicfb
找到原因,谢谢,的确可以忽略
发表于 2014-10-23 21:10:50 | 显示全部楼层
回复 1# abao123


   楼主的设计是用类似于下面的逻辑做的门控吗?assign gated_clock=clock&enable;
always @(posedge gated_clock)
begin
**
end
发表于 2015-8-10 14:33:13 | 显示全部楼层
回复 4# abao123


   我也出现这种问题,而且有的path 到一个复杂组合逻辑门有很大的violation,
你是怎么解决的??
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 04:15 , Processed in 0.047216 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表