在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1509|回复: 2

[求助] 例化电源pad的时候遇见的一个小问题,麻烦大家看一下,谢谢了!

[复制链接]
发表于 2014-5-7 11:13:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
PVDD1W vdd_right(.VDD(VDD));
PVSS1W vss_right(.VSS(VSS));
PVDD2W vdd33_right();
PVSS2W vss33_right();
PVDD1W vdd_bottom(.VDD(VDD));
PVSS1W vss_bottom(.VSS(VSS));
PVDD2W vdd33_bottom();
PVSS2W vss33_bottom();
PVDD2W PVSS2W例化的时候那个()里面缺损是什么意思呢?这样看是有几组电源呢?谢谢各位了!
发表于 2014-5-7 14:15:48 | 显示全部楼层
PVDD1W、PVSS1W是供给core的电源,有两组 right 和 bottom
PVDD2W、PVSS2W是供给io上的电源,有两组 right 和 bottom
 楼主| 发表于 2014-5-7 14:44:27 | 显示全部楼层




    谢谢你啊!在你的帮助下终于弄明白了!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 01:48 , Processed in 0.021674 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表