在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1354|回复: 2

[求助] quartus调用modelsim仿真问题

[复制链接]
发表于 2013-10-15 22:10:49 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大家好我用quartus生成modelsim仿真的test.vt文件,在里面例化了被测试模块,然后initial了时钟个复位,可以仿真

现在我把激励也作为一个模块加进来,clk.v,并添加到工程中,仿真的时候就出现了问题

modelsim提示找不到clk.v

是不是只能在modelsim里新建工程才能这样做
发表于 2013-10-17 16:54:05 | 显示全部楼层
你说的不清不楚,我只能猜测你的步骤:
(1)Qii写test.v;
(2)modelsim仿真test.v;可以仿真;
(3)Qii写clk.v,添加到Qii工程;
(4)modelsim仿真test.v,提示找不到clk.v;

如果是上述步骤的话,你应该用Modelsim新建工程,把clk.v和test.v都包含到工程里,再仿真
 楼主| 发表于 2013-10-18 09:33:29 | 显示全部楼层
回复 2# freshair_eet


   是的因为我之前是在qii中调用modelsim,不是在modelsim中新建工程,所以总是提示找不到clk.v
谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 02:23 , Processed in 0.023764 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表