在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1943|回复: 4

[求助] 请教 MODELSIM 仿真正弦函数问题

[复制链接]
发表于 2013-9-16 20:08:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 yleegn 于 2013-9-16 20:11 编辑

MATLAB产生的sin.txt文件放到工程目录下了啊。
程序:
module sin(clk,rst,y);
input clk;
input rst;
output y;
reg i;
reg data_out;
reg [7:0] data_mem[0:255]; //定义一个8bit X 256的数组
initial
    $readmemh("sin.txt",data_mem); //sin.txt中的数据读入存储器data_mem
  always @(posedge clk)
    begin
     if(!rst)
        begin
        data_out <= 8'd0;
        i <= 8'd0;
        end
           else
            begin
          data_out<=data_mem; //将存储器中的数据输出
          i<=i+8'd1;
        end  
       end
      assign y=data_out;

  endmodule



TESTBENCH:
`timescale 1ns/10ps
`include "sin.v"
module sin_test_testbench;
reg clk;
reg rst;
wire [255:0]y;
initial
begin   
  rst=0;   
  clk=0;
#1000 rst=1;
end
always
#50 clk=~clk;

sin i1(      
         .clk(clk),         
         .rst(rst),                       
         .y(y)           
        );
initial begin
      # 60000 $finish;
      end
endmodule




新手啊,编译时没有问题的,仿真图像怎么是这样的啊?

仿真图

仿真图
发表于 2013-9-17 08:56:22 | 显示全部楼层
同学 右键把格式设为analog吧~
发表于 2013-9-17 10:54:27 | 显示全部楼层
刚接触verilog,memory也不太懂,我想问一下,LZ在取memory值得时候 【 data_out<=data_mem; //将存储器中的数据输出 】 为什么没给memory加个地址,如 data_mem[i]  ??
 楼主| 发表于 2013-9-17 16:31:45 | 显示全部楼层
本帖最后由 yleegn 于 2013-9-17 16:37 编辑

回复 3# 浩然若枫


程序里面是有的,但是这个在帖子里面   中括号里面i  显示不出来,不知道怎么回事。还能看到另外的问题吗?
 楼主| 发表于 2013-9-17 16:33:33 | 显示全部楼层
回复 2# tdktdkett


    3212121.jpg 右键的时候这样的,选不了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-17 18:36 , Processed in 0.038910 second(s), 12 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表