|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
本帖最后由 yleegn 于 2013-9-16 20:11 编辑
MATLAB产生的sin.txt文件放到工程目录下了啊。
程序:
module sin(clk,rst,y);
input clk;
input rst;
output y;
reg i;
reg data_out;
reg [7:0] data_mem[0:255]; //定义一个8bit X 256的数组
initial
$readmemh("sin.txt",data_mem); //将sin.txt中的数据读入存储器data_mem
always @(posedge clk)
begin
if(!rst)
begin
data_out <= 8'd0;
i <= 8'd0;
end
else
begin
data_out<=data_mem; //将存储器中的数据输出
i<=i+8'd1;
end
end
assign y=data_out;
endmodule
TESTBENCH:
`timescale 1ns/10ps
`include "sin.v"
module sin_test_testbench;
reg clk;
reg rst;
wire [255:0]y;
initial
begin
rst=0;
clk=0;
#1000 rst=1;
end
always
#50 clk=~clk;
sin i1(
.clk(clk),
.rst(rst),
.y(y)
);
initial begin
# 60000 $finish;
end
endmodule
新手啊,编译时没有问题的,仿真图像怎么是这样的啊?
仿真图
|
|