在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2025|回复: 2

[求助] ISE11.1综合warning

[复制链接]
发表于 2013-9-6 15:43:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 servingapples 于 2013-9-6 16:48 编辑

module data_generator( clk, rst_n, addr, read_en, write_en, wr_data, load );

input         clk;    //50MHz
input         rst_n;
output[17:0]  addr;
output[15:0]  wr_data;
output           read_en;
output           write_en;
output           load;

parameter     TIME_1000MS = 26'd49999999;
parameter     TIME_200US  = 26'd9999;
parameter     TIME_400US  = 26'd19999;
parameter     TIME_600US  = 26'd29999;
//----------------------------------------------------------

reg[25:0] delay_1000ms;

always @(posedge clk or negedge rst_n) begin

  if (!rst_n) begin
    delay_1000ms <= 26'b0;
  end
  else if (delay_1000ms == 26'd30010) begin
    delay_1000ms <= 26'b0;
  end  
  else begin
    delay_1000ms <= delay_1000ms + 1'b1;
  end
end

assign write_en = (delay_1000ms == TIME_200US);   //200us later write sram
assign read_en  = (delay_1000ms == TIME_400US);   //200us later read  sram

reg[17:0]addr;
reg[15:0]wr_data;

always @(posedge clk or negedge rst_n) begin
  if (!rst_n) begin
    addr <= 18'h00000;
  end
  else if (delay_1000ms == 26'd29999) begin
    addr <= addr + 1'b1;
  end
end
always @(posedge clk or negedge rst_n) begin
  if (!rst_n) begin
    wr_data <= 16'b0;
  end
  else if (delay_1000ms == TIME_600US) begin
    wr_data<= wr_data + 16'd2;
  end
end

//------------------------------------------------

  wire load;
  assign load = (delay_1000ms == TIME_600US) ? 1'b1 : 1'b0;

endmodule

代码功能:产生周期的write_en与read_en信号,wr_data与addr。代码语法正确,但是用ISE11.1综合的时候addr产生了很多的warning。

WARNING:Xst:1710 - FF/Latch <addr_17> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_16> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_15> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_14> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_13> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_12> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_11> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_10> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_9> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_8> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_7> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_6> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_5> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_4> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_3> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_2> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_1> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_0> (without init value) has a constant value of 0 in block <data_generator>. This FF/Latch will be trimmed during the optimization process.


我翻来覆去的看代码,发现不了到底是什么问题。不知道各位有没有解决方法。
发表于 2013-9-6 20:47:27 | 显示全部楼层
ADDR 声明成REG型试下
 楼主| 发表于 2013-9-9 09:32:43 | 显示全部楼层
回复 2# meng82yuan


   已经申明成reg了的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 03:33 , Processed in 0.023892 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表