在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: guolehaohao

[原创] windows 7 下modelsim 10.0d配置UVM_1.1d

[复制链接]
发表于 2016-5-11 17:47:13 | 显示全部楼层
要注意位数啊,64位记得用64位的gcc
发表于 2016-5-19 20:29:53 | 显示全部楼层
或许我遇到的问题比较奇葩:g++编译报错,说是undefined reference to 'm__uvm_report_dpi',大神求解啊!
 楼主| 发表于 2016-5-21 19:22:10 | 显示全部楼层
回复 82# renemystery


   编译时候没有加载uvm_dpi.dll这个动态链接库
发表于 2016-5-22 15:49:28 | 显示全部楼层
回复 83# guolehaohao
原来如此,谢谢指点。 另有一事相问,我在modelsim10.0c win64版本下找不到对应的uvm_dpi.dll文件,只能找到Linux下的uvm_dpi.so文件,win64版本的modelsim是否不支持uvm呢?
 楼主| 发表于 2016-5-22 21:09:35 | 显示全部楼层
回复 84# renemystery


   需要自己编译uvm_dpi.dll。
  32位的modelsim需要用gcc-win32编译,64位的modelsim需要用gcc-win64编译...两个编译器版本不一样
发表于 2016-5-23 16:48:35 | 显示全部楼层
回复 85# guolehaohao


   多谢指教
发表于 2016-5-26 21:13:16 | 显示全部楼层
谢谢分享
发表于 2016-6-13 15:39:26 | 显示全部楼层
编译成功,感谢!!!!
发表于 2016-6-13 16:13:36 | 显示全部楼层
Loading sv_std.std
# Loading work.uvm_pkg(fast)
# Loading work.hello_world_example(fast)
# ** Note: (vsim-8785) UVM-aware debugging capabilities will be disabled since no compiled "questa_uvm_pkg" can be found.
#
# This also means that later if you turn on UVM-aware debugging your debug simulations may have
#
# different random seeds from your non-debug simulations.
#
# Loading D:/modeltech_10.1c/uvm-1.1d/lib/uvm_dpi.dll
输入仿真命令之后出现以上warning,是不是因为没有安装QUESTASIM?是不是意味着我的modelsim无法做uvm仿真呢?
 楼主| 发表于 2016-6-14 21:31:21 | 显示全部楼层
回复 89# 136763613


   questa_uvm_pkg 没有找到这个库
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 17:43 , Processed in 0.027208 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表