在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5408|回复: 6

[求助] NCverilog 后仿违反,求释义

[复制链接]
发表于 2013-6-26 17:02:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
近日用NC进行后仿时,console simvision 窗口显示出下面的
ncsim> run
warining! Timing violation
             $setuphold<hold>(posedge CK &&& (flag == 1) :14NS,posedge D:14NS, 1.000: 1NS )
             File: /setup_files/smic18m.v ,line=9818
             scope: tb_top.u_top.r_add_buf1_reg_7
             Time : 14NS

warining! Timing violation
             $setuphold<hold>(posedge CK &&& (flag == 1) :14NS,posedge D:14NS, 1.000: 1NS )
             File: /setup_files/smic18m.v ,line=9818
             scope: tb_top.u_top.r_add_buf1_reg_3
             Time : 26NS

simulation interrupted at 1000000NS +2
仿真部分结果如图所示:

仿真截图

仿真截图



clk1,clk2上面的是输入接口,下面的三个接口是输出接口。大家可以看到选中第一个输出接口在经过红色的不定态后预期输出正常的数据,但是却输出了一个金色的有不定态的数。而后第二个,第三个数...全都是正常的值。也就说仅仅第一个数值的产生有问题。

求助:1,$setuphold<hold>(posedge CK &&& (flag == 1) :14NS,posedge D:14NS, 1.000: 1NS )是啥意思啊?
         2,仿真图出现的问题是啥情况啊?这可不可靠?有可能是什么原因造成这个问题?
在此拜求各位大神仔细看看这个问题啦!!
 楼主| 发表于 2013-6-26 17:03:18 | 显示全部楼层
我自己顶一下哈
 楼主| 发表于 2013-6-27 09:03:07 | 显示全部楼层
有没有人啊
发表于 2013-6-27 10:26:53 | 显示全部楼层
后仿的时候不一定所有的timing问题都需要关心,只需要关心本次仿真的case的路径;
 楼主| 发表于 2013-6-27 15:49:16 | 显示全部楼层
回复 4# Gary.wang


    好的,多谢您啦!
发表于 2013-6-27 20:54:26 | 显示全部楼层
异步输入吧,调整输入时间。。
发表于 2013-6-27 21:19:04 | 显示全部楼层
我只能回答你的第一个问题:
(posedge CK &&& (flag == 1) :14NS,posedge D:14NS指的是CK上升沿和D的上升沿两个时间都是在14ns,所以不符合时序。本人拙见,仅供参考
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-29 02:51 , Processed in 0.038033 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表