Timing Constraints
When defining timing constraints you should consider that your design has synchronous paths and asynchronous paths. Synchronous paths are constrained by specifying clocks in the design. Use the create_clock command to specify a clock. After specifying the clocks, it is recommended you also specify the input and output port timing specifications. Use the set_input_delay and set_output_delay commands.
Asynchronous paths are constrained by specifying minimum and maximum delay values. Use the set_max_delay and set_min_delay commands to specify these point-to-point delays. The calculation of minimum and maximum delays are described in the following sections.
For additional information, see the Synopsys Timing Constraints and Optimization User Guide.