在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: xinhunlei

[求助] cadence ams仿真调用verilog问题

[复制链接]
发表于 2015-4-1 18:26:40 | 显示全部楼层
回复 17# 何大爷

哥们,你说在顶层include所有调用到的子模块,把绝对路径写清楚,那么只对顶层那个模块进行Verilog import的话,非底层的模块就同时包含schematic、symbol和Verilog吗,而且顶层各模块是通过schematic联系起来的?我也是这样做的,但是没有得到非底层的schematic,麻烦你说详细一点行吗?
发表于 2015-4-1 18:28:03 | 显示全部楼层
回复 3# amodaman

高手,你好我也遇见了这个问题,但是我在config里面看不到那些unresolved的模块,这是怎么回事?
发表于 2015-4-1 23:35:44 | 显示全部楼层
回复 20# beargebinjie

ADE Simulation->solver 中改选oss+irun,然后ams option中把库文件指向所有verilog存放目录
发表于 2015-4-3 08:43:05 | 显示全部楼层
回复 23# xxwan

指定之后可以跑起来了,但是结果不对,我再看看,谢谢啦
发表于 2015-5-26 23:00:06 | 显示全部楼层
谢谢分享,很好
发表于 2015-6-16 10:33:18 | 显示全部楼层
谢谢了
发表于 2015-9-28 23:09:42 | 显示全部楼层
回复 23# xxwan
我用你的方法,在选cell-based netlister + ncvlog时可以仿真,但使用oss+irun,就报cell unresolved的问题,请是否可图片指示是你是怎么引用library的?谢谢
发表于 2015-12-10 15:01:54 | 显示全部楼层
回复 23# xxwan
    我在用ams进行混合仿真的时候,怎么数字部分verilog代码的位拼接结果是相反的,{}里面的左边成了低位,右边成了高位?这是什么问题啊,求大神请教
发表于 2016-1-6 14:27:46 | 显示全部楼层




    unresolve的问题后来怎么解决的啊?
发表于 2018-8-2 14:10:14 | 显示全部楼层
如果是top module对子module只有调用,没有定义,那么可以将top module导入做成一个functional,而对子module的.v文件通过Simulationoptionsirun,在Library files (-v)一栏填入几个子module的.v文件的绝对路径,注意通过Browser只能选中一个.v,如果有多个.v则需要手动填写,且各个子module.v中间需要有空格。
如果其中包含的子module太多不方便一一键入,那也可以将这些子module.v放进一个director这时候在Library directories(-y)中填入这个包含所有子module的dir的绝对路径即可。注意-y这里跟-v一样,如果需要的.v文件放在不同的DIR里面,也可以键入多个DIR,中间要用空格隔开,
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-24 10:18 , Processed in 0.028054 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表