在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10673|回复: 7

[求助] 警告:clk_div without an associated clock assignment 怎么解决?

[复制链接]
发表于 2013-5-15 14:18:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 zhpg009 于 2013-5-15 20:39 编辑

如题,Warning (332060): Node: sys_clk:uut_sys_clk|clk_div was determined to be a clock but was found without an associated clock assignment.
  
代码:
module sys_clk
(
        clk, rst_n,
        clk_25MHz, sys_rst_n        
);

        input  clk, rst_n;
        output clk_25MHz, sys_rst_n;        
        
        reg clk_div;
        
        always @ ( posedge clk or negedge rst_n )
                begin
                        if( !rst_n )
                                clk_div <= 0;
                        else
                                clk_div <= ~clk_div;
                end

        assign clk_25MHz = clk_div;
        assign sys_rst_n = rst_n;
               
        /****************************************/
发表于 2013-5-15 15:27:06 | 显示全部楼层
我编译了一下,为什么我这边没有出现呢,我用是的是quartus 9.0的,不过我没有做引脚分配,是不是你引脚分配有问题呢?
 楼主| 发表于 2013-5-15 20:41:48 | 显示全部楼层
回复 2# tangate


    引脚分配应该没问题
发表于 2013-5-15 22:05:39 | 显示全部楼层
到TimeQuest里Create Clock...试一试。
发表于 2013-5-16 11:30:41 | 显示全部楼层
抱歉!受2楼的影响,我误以为你使用的是Quartus。看头像才知可能是Xilinx软件。
但是道理是一样的。我猜,你一定是直接或间接把分频的信号clk_div作为时钟使用了。
发表于 2013-5-16 13:27:45 | 显示全部楼层
creat_generate_clock -source a -waveform {} -divide_by 2 [get_pins divided_clk]
可以再图形化里面creat分频后的clock。命令只是个参考,xlix里面可能不是这个命令,图形化加的话就可以了。
发表于 2014-10-22 14:59:36 | 显示全部楼层
create_clock -name adcclk -period 40.000 -waveform { 0.000 20.000 } [get_ports {xxx:inst1|adcclk}]

这样搞好像不行
发表于 2019-7-29 14:45:00 | 显示全部楼层
create_clock -name "clk" -period 8.000ns [get_ports {clk}]
在上面这一行中,如果缺少[get_ports clk]这个选项,就会报“without an associated clock assignment.”的错误。时间约束要加在两个端点之间,如果只指定一个端点,就会报上述错误。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 13:53 , Processed in 0.028548 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表