在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1991|回复: 4

[求助] 在encounter中,跨时钟的PATH如何去掉

[复制链接]
发表于 2013-4-7 13:53:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在设计中有两个时钟,对于输入信号,在不同功能的工作模式下送给不同的时钟。这两个时钟异步,也不希望PATH跨这两个时钟域。
create_clock ACLK -period 5
create_clock BCLK -period 16
set_false_path -from [get_clock ACLK] -to [get_clock BCLK]
set_false_path -from [get_clock BCLK] -to [get_clock ACLK]
set_input_delay -clock ACLK 1.0 IN1
set_input_delay -clock BCLK 5.0 IN1 -add_delay
在CTS前,report_timing时只根据ACLK、BCLK报了各自的timing,没有出现跨时钟域的路径出现。
但是CTS后,出现了一个对BCLK的输入input_delay的5ns约束,但是却由ACLK采样的PATH,(在约束中对ACLK的约束是1ns,所以这个不希望出现的PATH导致了很大的violation)。

我的问题是:既然已经通过set_false_path将两个时钟说明为异步了,为什么还会有跨时钟域的PATH出现?
发表于 2013-4-7 16:44:34 | 显示全部楼层
用set_clock_group,把它们设为异步试试。感觉是设上了false path,应该不会报出跨时钟域的路径
发表于 2013-4-7 16:55:13 | 显示全部楼层
set_false_path肯定是管用的,你这边的问题在于为什么CTS后会出现这个情况。

几个可能性及解决方法 :
1) cts之后把set_false_path再设置一遍。有可能有各种各样的原因导致前面的没有保存下来
2) check一下你有没有clock source path.
 楼主| 发表于 2013-4-7 18:24:26 | 显示全部楼层
因为CTS后的SDC文件是需要重新改的,所以肯定set_false_path有重新再设置一遍。
“2) check一下你有没有clock source path”是指什么?
发表于 2020-8-4 09:19:33 来自手机 | 显示全部楼层
那同时钟域的延时具体如何解决,我需要具体的解决方法,谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 19:17 , Processed in 0.024821 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表