在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2218|回复: 2

[求助] timequest 设置时钟关系

[复制链接]
发表于 2013-1-18 17:34:46 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
QQ截图20130118164736.jpg
在timequest分析的时候,比如说有两个时钟,CLKA和CLKB,都为10M,输入到FPGA内部;
并且CLKB比CLKA滞后90度(其实也可以认为是提前了270度的吧????),那么该怎么
写约束告诉timequest呢,我写了两句create_clock:
create_clock -name {CLKA} -period 10.000 -waveform { 0.000 5.000 } [get_ports {CLKA}]
create_clock -name {CLKB} -period 10.000 -waveform { 0.000 5.000 } [get_ports {CLKB}]
发表于 2013-1-18 19:07:31 | 显示全部楼层
create_clock -name {CLKB} -period 10.000 -waveform { 2.500 7.500 } [get_ports {CLKB}]
 楼主| 发表于 2013-1-22 10:03:00 | 显示全部楼层
回复 2# Timme


    多谢多谢,以前在哪里看到过,忘记了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 04:25 , Processed in 0.029697 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表