马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
本帖最后由 清水一杯 于 2013-4-26 09:58 编辑
装了IUS92, verdi2009,按照网络上各种教程,脚本如下
ncvlog -f scripts/src.f
ncelab tb -access wrc -timescale 1ns/1ps -nospecify +loadpli1=debpli:/home/zhuanggl/EDA_soft/verdi/share/PLI/nc_latest/LINUX64/nc_loadpli1/debpli.so
运行后,提示认不到函数
$fsdbDumpfile("IMAGEA.fsdb");
|
ncelab: *W,MISSYST (./testbench/ccd_all_TB.v,584|16): Unrecognized system task or function (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
If item was defined in a shared-object library, the problem could be:
libvpi.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS32 library.
libpli.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS32 library..
$fsdbDumpvars(0,tb.uut.top_i.OneD_i);
|
ncelab: *W,MISSYST (./testbench/ccd_all_TB.v,585|16): Unrecognized system task or function (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
$fsdbDumpoff;
|
ncelab: *W,MISSYST (./testbench/ccd_all_TB.v,591|15): Unrecognized system task or function (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
$fsdbDumpFinish;
已经解决。
我用IUS920,verdi2011, 虽然操作系统是64位,但是仿真器是32位,因此选择linux目录下,而非linux64目录下。
环境变量路径与库所在的路径不同。
1》设置变量路径: export LD_LIBRARY_PATH= ……/verdi/share/PLI/lib/LINUXLD_LIBRARY_PATH
2》链接库
ncelab tb -access wrc -timescale 1ns/1ps -notimingchecks -loadpli1 "……/verdi/share/PLI/IUS/LINUX/boot/debpli.so":deb_PLIPtr
|