在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 19894|回复: 22

[求助] 请教Primetime的问题

[复制链接]
发表于 2012-10-12 21:05:12 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
有个很弱智的问题在PT里面做时序分析用read_verilog netlist.v来读取网表文件然后current_design top_module 再link就报所有的子模块找不到;我使用link_design -keep_sub_designs还是找不到子模块。(netlist.v文件中包括top_module有包含子模块的module)
发表于 2012-10-12 22:07:47 | 显示全部楼层
read_verilog后直接link
link_library 里面有关“*”没?
 楼主| 发表于 2012-10-13 09:08:22 | 显示全部楼层
跟link library没有关系,报的是找不到netlist中的pins ports instances
我刚才设置了set search_path { "." . ./inputs/  ./inputs/mult.v ./inputs/mult_DW_mult_uns_1.v}还是报同样的错误。
在link第二个module时会自动把第一个module unlink掉

我感觉解决此问题有两种方法:1、dc compile时不set flatten 也不ungroup-all,但是要报所有的设计都写成一个module
2、要PrimeTime能够link多个design,但不要unlink已经link的design,哪怕是子模块
但是,我查阅user guide试了好几个方法都没有做出来,这是我的个人见解。
求大侠们指导,谢谢!
发表于 2012-10-13 11:21:28 | 显示全部楼层
确认试过在link_design里加上“*”不行?
 楼主| 发表于 2012-10-13 11:37:04 | 显示全部楼层
是的,用link_design * 或link_design “*"连这句话也会报错的:Cannot find design ‘*'
发表于 2012-10-13 12:04:42 | 显示全部楼层
我错了,当然不能link_design "*",是link_library里加“*”
 楼主| 发表于 2012-10-13 13:35:14 | 显示全部楼层
谢谢!
现在不是找不到library里面的东西,而是找不到子模块
发表于 2012-10-14 10:07:01 | 显示全部楼层
试了下,好像真不是这个原因,但这种现象的确处理过一次,记得是link_library里少了“*”
 楼主| 发表于 2012-10-14 11:06:43 | 显示全部楼层
谢谢!是少了“*”,我搞好了
发表于 2012-10-14 14:00:51 | 显示全部楼层
回复 9# edayz


    毛回事儿?我昨个晚上还专门试了下,把“*”去掉,居然没问题,太诡异了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 19:54 , Processed in 0.035123 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表