在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: popwqw520

[求助] DFT 出現大VIOLATED

[复制链接]
 楼主| 发表于 2012-10-4 02:32:48 | 显示全部楼层
版主的意思是直接用APR修嗎?

我本來的構想是先跑gate-sim看看時序圖過不過的了 之後再做APR

之前沒加DFT跑gate-sim是OK的
 楼主| 发表于 2012-10-4 03:57:42 | 显示全部楼层
本帖最后由 popwqw520 于 2012-10-4 04:21 编辑

回复 9# zfx253
感謝回復
這我之前有測試  當時合成設定有set_dont_touch_network        [get_clocks  clk]
set_fix_hold                  [get_clocks  clk]
set_ideal_network -no_propagate [get_ports test_se]
set_false_path -from  [get_ports test_se]

之後APR有
**WARN: Skipped set_input_transition constraints on port "clk" due to set_drive                                                                                 specified on same
**WARN: Skipped set_input_transition constraints on port "test_se" due to set_dr                                                                                ive specified on same

這 warn是我上面設定關西嗎?
爆掉了
|     Setup mode     |   all   | reg2reg | in2reg  | reg2out | in2out  | clkgate                                                                                 |
+--------------------+---------+---------+---------+---------+---------+--------                                                                                -+
|           WNS (ns):| -4.086  | -0.833  | -4.086  |   N/A   |   N/A   |   N/A                                                                                   |
|           TNS (ns):| -5527.0 |-221.745 | -5437.0 |   N/A   |   N/A   |   N/A                                                                                   |
|    Violating Paths:|  3553   |   925   |  3064   |   N/A   |   N/A   |   N/A                                                                                   |
|          All Paths:|  7259   |  7239   |  3147   |   N/A   |   N/A   |   N/A
发表于 2012-10-4 08:29:16 | 显示全部楼层
DRV的设定是为APR用的,光有DRV解决不了violation
 楼主| 发表于 2012-10-4 15:28:04 | 显示全部楼层
本帖最后由 popwqw520 于 2012-10-4 15:29 编辑

回复 13# 陈涛

感謝版大回復 我去做了APR 不過修不回來 cts後跟route都沒辦法
面積已經爆掉了 **ERROR: (ENCOPT-310):  Design density (97.30%) exceeds/equals limit (95.00%).
**WARN: Skipped set_input_transition constraints on port "clk" due to set_drive specified on same

------------------------------------------------------------
     optDesign Final Summary
------------------------------------------------------------

+--------------------+---------+---------+---------+---------+---------+---------+
|     Setup mode     |   all   | reg2reg | in2reg  | reg2out | in2out  | clkgate |
+--------------------+---------+---------+---------+---------+---------+---------+
|           WNS (ns):| -3.752  | -1.072  | -3.752  |   N/A   |   N/A   |   N/A   |
|           TNS (ns):| -4120.8 |-182.461 | -4087.8 |   N/A   |   N/A   |   N/A   |
|    Violating Paths:|  2469   |   487   |  2397   |   N/A   |   N/A   |   N/A   |
|          All Paths:|  11840  |  11819  |  3148   |   N/A   |   N/A   |   N/A   |
+--------------------+---------+---------+---------+---------+---------+---------+

+--------------------+---------+---------+---------+---------+---------+---------+
|     Hold mode      |   all   | reg2reg | in2reg  | reg2out | in2out  | clkgate |
+--------------------+---------+---------+---------+---------+---------+---------+
|           WNS (ns):|  0.068  |  0.068  |  1.674  |   N/A   |   N/A   |   N/A   |
|           TNS (ns):|  0.000  |  0.000  |  0.000  |   N/A   |   N/A   |   N/A   |
|    Violating Paths:|    0    |    0    |    0    |   N/A   |   N/A   |   N/A   |
|          All Paths:|  11840  |  11819  |  3148   |   N/A   |   N/A   |   N/A   |
+--------------------+---------+---------+---------+---------+---------+---------+

+----------------+-------------------------------+------------------+
|                |              Real             |       Total      |
|    DRVs        +------------------+------------+------------------|
|                |  Nr nets(terms)  | Worst Vio  |  Nr nets(terms)  |
+----------------+------------------+------------+------------------+
|   max_cap      |      1 (1)       |   -0.056   |     23 (45)      |
|   max_tran     |   3601 (10868)   |   -3.185   |   3640 (11292)   |
|   max_fanout   |     76 (76)      |    -42     |    113 (113)     |
+----------------+------------------+------------+------------------+
发表于 2012-10-4 16:33:43 | 显示全部楼层
可以先加大面积试试
爆掉的原因有很多,找个有经验的人帮你看看,
 楼主| 发表于 2012-10-5 21:27:33 | 显示全部楼层
感謝版大回復
最後我修完 過到route完並且把setup和hold修成正的
------------------------------------------------------------
     optDesign Final Summary
------------------------------------------------------------

+--------------------+---------+---------+---------+---------+---------+---------+
|     Setup mode     |   all   | reg2reg | in2reg  | reg2out | in2out  | clkgate |
+--------------------+---------+---------+---------+---------+---------+---------+
|           WNS (ns):|  0.002  |  0.002  |  0.014  |   N/A   |   N/A   |   N/A   |
|           TNS (ns):|  0.000  |  0.000  |  0.000  |   N/A   |   N/A   |   N/A   |
|    Violating Paths:|    0    |    0    |    0    |   N/A   |   N/A   |   N/A   |
|          All Paths:|  11840  |  11819  |  3148   |   N/A   |   N/A   |   N/A   |
+--------------------+---------+---------+---------+---------+---------+---------+

+--------------------+---------+---------+---------+---------+---------+---------+
|     Hold mode      |   all   | reg2reg | in2reg  | reg2out | in2out  | clkgate |
+--------------------+---------+---------+---------+---------+---------+---------+
|           WNS (ns):|  0.100  |  0.100  |  0.119  |   N/A   |   N/A   |   N/A   |
|           TNS (ns):|  0.000  |  0.000  |  0.000  |   N/A   |   N/A   |   N/A   |
|    Violating Paths:|    0    |    0    |    0    |   N/A   |   N/A   |   N/A   |
|          All Paths:|  11840  |  11819  |  3148   |   N/A   |   N/A   |   N/A   |
+--------------------+---------+---------+---------+---------+---------+---------+

+----------------+-------------------------------+------------------+
|                |              Real             |       Total      |
|    DRVs        +------------------+------------+------------------|
|                |  Nr nets(terms)  | Worst Vio  |  Nr nets(terms)  |
+----------------+------------------+------------+------------------+
|   max_cap      |      0 (0)       |   0.000    |      0 (0)       |
|   max_tran     |      0 (0)       |   0.000    |      0 (0)       |
|   max_fanout   |      0 (0)       |     0      |      0 (0)       |
+----------------+------------------+------------+------------------+

但是我存sdc檔案結果出現warning 說有為負的?? 請問這樣怎麼處理??
我cts跟route跑optimize timing都是正的
**WARN: (SDF-802):      The sum of the Setup and Hold sides of the SETUPHOLD check on pin AT/Test_M/DDin_3/dout_reg_11_/SI is negative - which is illegal in SDF V3.1. The negative side of the SETUPHOLD will be postively adjusted so that the resulting sum is zero. This will result in a more conservative analysis of the adjusted check.  Negative SETUPHOLD sums maybe an indication of a characterization problem in your timing libraries. You can set the timing global timing_write_sdf_allow_negative_setuphold_sum to 'true' to loosen this restriction.

**WARN: (SDF-802):      The sum of the Setup and Hold sides of the SETUPHOLD check on pin AT/Test_M/DD_pattern_out/dout_reg_6_/SI is negative - which is illegal in SDF V3.1. The negative side of the SETUPHOLD will be postively adjusted so that the resulting sum is zero. This will result in a more conservative analysis of the adjusted check.  Negative SETUPHOLD sums maybe an indication of a characterization problem in your timing libraries. You can set the timing global timing_write_sdf_allow_negative_setuphold_sum to 'true' to loosen this restriction.
发表于 2012-10-6 00:20:17 | 显示全部楼层
提示是说,SDFsum of the Setup and Hold为负
按照提示的建议,set timing_write_sdf_allow_negative_setuphold_sum true试试
 楼主| 发表于 2012-10-6 18:46:09 | 显示全部楼层
本帖最后由 popwqw520 于 2012-10-6 21:20 编辑

感謝 版大回復
下那指令後 存SDC就沒有warning

之後我跑post-sim
原先我這設計是5ns 合成用4.5ns slow_mode 跑gate-sim是ok的 gate-sim用的是wire-load-mode合成的

但是現在要20ns控制訊號才正常 但是我的架構還是不行動 都是XXX

我檢查一下我的合成,而且又合成幾次發現 沒加DFTslack是正的,這是合成4.9跑5的結果  data required time                                                 5.03
  data arrival time                                                 -5.03
  --------------------------------------------------------------------------
  slack (MET)                                                        0.00

但是加完DFT後都有slack差0.15左右,雖然跑gate-sim的資料是對的,請問這可以在enocunter下修掉嗎?? 還是一定要slack為0才能跑

  data required time                                                 4.78
  data arrival time                                                 -4.93
  --------------------------------------------------------------------------
  slack (VIOLATED)                                            -0.15


encounter修到正的 我以為就ok說 ,這是clock.ctstch設定
# Clock Root   : clk
# Clock Name   : clk
# Clock Period : 4.5ns
#------------------------------------------------------------
AutoCTSRootPin clk
Period         4.5ns
MaxDelay       1.00ns # sdc driven default
MinDelay       0ns # sdc driven default
发表于 2012-10-6 23:05:48 | 显示全部楼层
我再强调一遍,你存的那个叫SDF,与SDC是2码事

至于postsim的问题,你给的信息不够,无法判断问题的原因。
依你现在的水平,各种稀奇古怪的原因都有可能造成那个问题,
有可能是某个简单的设定/概念没搞对,
还是那句话,在你附近找个有经验的看看
 楼主| 发表于 2012-10-6 23:57:26 | 显示全部楼层
本帖最后由 popwqw520 于 2012-10-7 00:01 编辑

回复 19# 陈涛

感謝版大回復 我發現打錯了 是sdf,

我以為只要encounter修過就OK 看來理解還不夠

post-sim有些問題要慢慢看 類似很多這些 ncelab: *W,SDFNEP: Unable to annotate to non-existent path (IOPATH (posedge S0) Y) of instance CHIP_test.CHIP.AT.Test_M.FE_RC_4222_0 of module MX2X2 <./CHIP.sdf, line 78614>.
ncelab: *W,SDFNEP: Unable to annotate to non-existent path (IOPATH (negedge S0) Y) of instance CHIP_test.CHIP.AT.Test_M.FE_RC_4222_0 of module MX2X2 <./CHIP.sdf, line 78615>.
ncelab: *W,SDFNEP: Unable to annotate to non-existent path (IOPATH (posedge S0) Y) of instance CHIP_test.CHIP.AT.Test_M.FE_RC_1107_0 of module MXI2X2 <./CHIP.sdf, line 79575>.
ncelab: *W,SDFNEP: Unable to annotate to non-existent path (IOPATH (negedge S0) Y) of instance CHIP_test.CHIP.AT.Test_M.FE_RC_1107_0 of module MXI2X2 <./CHIP.sdf, line 79576>.
ncelab: *W,SDFNEP: Unable to annotate to non-existent path (IOPATH (posedge S0) Y) of instance CHIP_test.CHIP.AT.Test_M.FE_RC_325_0 of module MXI2X4 <./CHIP.sdf, line 79590>.


再次感謝版大講解
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-27 18:59 , Processed in 0.034864 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表