在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6276|回复: 19

[求助] DFT 出現大VIOLATED

[复制链接]
发表于 2012-10-2 12:45:34 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
DFT 出現大VIOLATED                                    Required        Actual
   Net                      Transition     Transition        Slack
   -----------------------------------------------------------------
   n1                          4.50         760.83        -756.33  (VIOLATED)
       PIN :   AT/propose/FBF2x4/FBF2_1/DFx/Q_reg[0]/SE
                                4.50         760.83        -756.33  (VIOLATED)
       PIN :   AT/propose/FBF2x4/FBF2_1/DFx/Q_reg[1]/SE
                                4.50         760.83        -756.33  (VIOLATED)
       PIN :   AT/propose/FBF2x4/FBF2_1/DFx/Q_reg[2]/SE
                                4.50         760.83        -756.33  (VIOLATED)
       PIN :   AT/propose/FBF2x4/FBF2_1/DFx/Q_reg[3]/SE
                                4.50         760.83        -756.33  (VIOLATED)

有很多 ,所有的pin腳位都有這個大延遲,跑出來的圖形檔錯誤的,沒加DFT前是可以動,

查看了一下是DFT se這個訊號,也就是以上錯誤,這有沒有方法解掉?
发表于 2012-10-2 13:44:45 | 显示全部楼层
Scan Enable没做buffer tree的原因
buffer tree的具体做法,自己在坛子里找
 楼主| 发表于 2012-10-2 17:22:44 | 显示全部楼层
感謝版主回應
我找到資料是設定DRV

因此我原先設定是
set_ideal_network -no_propagate [get_ports test_se]
set_false_path -from  [get_ports test_se]
之後改為這樣
set_max_capacitance 2 [get_ports test_se]
set_max_transition 0.2  [get_ports test_se]
set_max_fanout 6  [get_ports test_se]

  PIN :   AT/Test_M/s_1D_reg/SE
                                              4.50         760.61        -756.11  (VIOLATED)
   test_se                              0.20           1.44          -1.24  (VIOLATED)
       PORT :  test_se             0.20           1.44          -1.24  (VIOLATED)

請問是類似這樣設定嗎 參數我是按照範例給的
我Pad是用PDIDGZ 但是我找不到它的單元設定參數
发表于 2012-10-2 23:54:32 | 显示全部楼层
1)set_max_fanout 6小了点
2)PIN :   AT/Test_M/s_1D_reg/SE依然有问题
 楼主| 发表于 2012-10-3 01:17:49 | 显示全部楼层
感謝版主 其實這個pin很多 我只貼一個 應該是全部的pin 但是問題還是存在

       PIN :   AT/Test_M/e_n_reg/SE
                                4.50         760.61        -756.11  (VIOLATED)
       PIN :   AT/Test_M/en_1D_reg/SE
                                4.50         760.61        -756.11  (VIOLATED)
       PIN :   AT/Test_M/en_2D_reg/SE
                                4.50         760.61        -756.11  (VIOLATED)
       PIN :   AT/Test_M/eno_reg/SE
                                4.50         760.61        -756.11  (VIOLATED)
       PIN :   AT/Test_M/m_sID_reg/SE
                                4.50         760.61        -756.11  (VIOLATED)
       PIN :   AT/Test_M/s_1D_reg/SE
                                4.50         760.61        -756.11  (VIOLATED)
   max_fanout
                             Required        Actual
   Net                        Fanout         Fanout          Slack
   -----------------------------------------------------------------
   n1                          20.00        4583.00       -4563.00  (VIOLATED)

而且他的錯誤要四千多,我之後修改20還是沒辦法
set_max_capacitance 2 [get_ports test_se]
set_max_transition 0.2  [get_ports test_se]
set_max_fanout 20  [get_ports test_se]

也是一樣所有的pin
       PIN :   AT/Test_M/m_o_reg/SE
                                4.50         760.61        -756.11  (VIOLATED)
       PIN :   AT/Test_M/e_n_reg/SE
                                4.50         760.61        -756.11  (VIOLATED)
       PIN :   AT/Test_M/en_1D_reg/SE
                                4.50         760.61        -756.11  (VIOLATED)
       PIN :   AT/Test_M/en_2D_reg/SE
                                4.50         760.61        -756.11  (VIOLATED)
       PIN :   AT/Test_M/eno_reg/SE
                                4.50         760.61        -756.11  (VIOLATED)
       PIN :   AT/Test_M/m_sID_reg/SE
                                4.50         760.61        -756.11  (VIOLATED)
       PIN :   AT/Test_M/s_1D_reg/SE
                                4.50         760.61        -756.11  (VIOLATED)
   test_se                      0.20           1.44          -1.24  (VIOLATED)
       PORT :  test_se          0.20           1.44          -1.24  (VIOLATED)

   max_fanout
                             Required        Actual
   Net                        Fanout         Fanout          Slack
   -----------------------------------------------------------------
   n1                          20.00        4583.00       -4563.00  (VIOLATED)

   max_capacitance
                             Required        Actual
   Net                      Capacitance    Capacitance       Slack
   -----------------------------------------------------------------
   test_se                      2.00           2.69          -0.69  (VIOLATED)

難道fanout要增加到四千多嗎???請問這樣合理嗎?
发表于 2012-10-3 07:24:42 | 显示全部楼层
你只对这个ports 设定了set_max_fanout 20  [get_ports test_se]
其他的问题当然还是没有解决了

一般DRV对整个design设定的,比如
set_max_fanout 20  [current_design]
发表于 2012-10-3 07:31:25 | 显示全部楼层
另外,相应net/port/pin上的dont_touch,ideal属性要去掉
 楼主| 发表于 2012-10-3 14:55:33 | 显示全部楼层
感謝版大回應 請問cell相關的也要刪除嗎? 我是用chip_level synthesis
set_dont_touch [get_cells ipad_*]
set_dont_touch [get_cells opad_*]
set_dont_touch_network        [get_clocks  clk]
set_fix_hold                  [get_clocks  clk]
測試的參數
set_max_capacitance 2  [current_design]
set_max_transition 0.2   [current_design]
set_max_fanout 30   [current_design]
結果
      max_delay/setup ('clk' group)

                             Required        Actual
   Endpoint                 Path Delay     Path Delay        Slack
   -----------------------------------------------------------------
   AT/propose/even/factor_e/DFF1_4/Q_reg[16]/D
                                4.33           4.89 f        -0.56  (VIOLATED)
   AT/propose/even/factor_e/DFr6/Q_reg[16]/D
                                4.45           4.97 f        -0.52  (VIOLATED)
   AT/propose/even/factor_e/DFr5/Q_reg[16]/D
                                4.45           4.96 f        -0.51  (VIOLATED)
   max_transition

                             Required        Actual
   Net                      Transition     Transition        Slack
   -----------------------------------------------------------------
   n3                           0.20         760.61        -760.41  (VIOLATED)
       PIN :   AT/propose/FBF2x4/FBF2_1/DFx/Q_reg[0]/SE
                                0.20         760.61        -760.41  (VIOLATED)
       PIN :   AT/propose/FBF2x4/FBF2_1/DFx/Q_reg[1]/SE
                                0.20         760.61        -760.41  (VIOLATED)
  en_data                      0.20           1.44          -1.24  (VIOLATED)
       PIN :   ipad_EN/PAD      0.20           1.44          -1.24  (VIOLATED)
       PORT :  en_data          0.20           1.44          -1.24  (VIOLATED)
   mode[0]                      0.20           1.44          -1.24  (VIOLATED)
       PIN :   ipad_MD0/PAD     0.20           1.44          -1.24  (VIOLATED)
   AT/propose/even/a_e/muxHa1/mux_in1[15]
                                0.20           0.97          -0.77  (VIOLATED)
       PIN :   AT/propose/even/DFF4_4/Q_reg[0]/SI
                                0.20           0.97          -0.77  (VIOLATED)
       PIN :   AT/propose/even/a_e/muxHa1/U45/A
                                0.20           0.97          -0.77  (VIOLATED)
       PIN :   AT/propose/even/DFF4_2/Q_reg[17]/Q




   max_fanout

                             Required        Actual
   Net                        Fanout         Fanout          Slack
   -----------------------------------------------------------------
   n3                          20.00        4583.00       -4563.00  (VIOLATED)

   max_capacitance
                             Required        Actual
   Net                      Capacitance    Capacitance       Slack
   -----------------------------------------------------------------
   n3                           2.00        1011.00       -1009.00  (VIOLATED)
   en_data                      2.00           2.69          -0.69  (VIOLATED)
   mode[0]                      2.00           2.69          -0.69  (VIOLATED)
   mode[1]                      2.00           2.69          -0.69  (VIOLATED)
   mode[2]                      2.00           2.69          -0.69  (VIOLATED)
   mode[3]                      2.00           2.69          -0.69  (VIOLATED)
   pattern[0]                   2.00           2.69          -0.69  (VIOLATED)
   pattern[1]                   2.00           2.69          -0.69  (VIOLATED)
   pattern[2]                   2.00           2.69          -0.69  (VIOLATED)
   pattern[3]                   2.00           2.69          -0.69  (VIOLATED)
   pattern[4]                   2.00           2.69          -0.69  (VIOLATED)
   pattern[5]                   2.00           2.69          -0.69  (VIOLATED)
   pattern[6]                   2.00           2.69          -0.69  (VIOLATED)
   pattern[7]                   2.00           2.69          -0.69  (VIOLATED)
   pattern[8]                   2.00           2.69          -0.69  (VIOLATED)
   pattern[9]                   2.00           2.69          -0.69  (VIOLATED)
   pattern[10]                  2.00           2.69          -0.69  (VIOLATED)
   pattern[11]                  2.00           2.69          -0.69  (VIOLATED)
   rst                          2.00           2.69          -0.69  (VIOLATED)
   test_mode                    2.00           2.69          -0.69  (VIOLATED)
   test_se                      2.00           2.69          -0.69  (VIOLATED)
   test_si                      2.00           2.69          -0.69  (VIOLATED)
发表于 2012-10-3 20:13:30 | 显示全部楼层
本帖最后由 zfx253 于 2012-10-4 13:05 编辑

在se上设置了set_ideal_network
怎么还会报出来呢. 这种设成ideal的让PR到后面去做吧
发表于 2012-10-4 00:06:08 | 显示全部楼层
设置DRV后,你用APR去fix DRV了吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 14:33 , Processed in 0.031979 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表