在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3373|回复: 8

[求助] adc中数字码的存储问题

[复制链接]
发表于 2012-8-17 09:07:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
诸位,大家好,我最近在整一个ADC芯片,测试时需要收集转换后的数字码,然后要将其转换成十进制数导入到Matlab中计算,想问问大家在电路仿真的时候能否把数字码保存都一个文件中,然后直接把文件中的十六进制转换成十进制,谢谢大家
发表于 2012-8-17 09:58:32 | 显示全部楼层
这里的方法比较多啦:
你在仿真的可以直接将数据保存到一个文件里面(二进制或者十六进制),
matlab 是可以识别的,在matlab 内部 可以 用该函数转换为10进制
不过有个问题: 你必须注意电路输出的应该是 有符号数,  matlab 应该转为有符号十进制
 楼主| 发表于 2012-8-19 09:15:22 | 显示全部楼层
回复 2# eagle654321


    那我想请问如何将仿真结果保存到一个文件呢?
发表于 2012-8-20 11:31:42 | 显示全部楼层
这个得看你是测试还是simulation了
如果测试 请 看 测试工具文档
如果是 simulation 看你是什么平台 用什么语言?
你什么都不提供, 大家怎么回答
 楼主| 发表于 2012-8-21 10:18:52 | 显示全部楼层
回复 4# eagle654321


    是这样的,我是在做一个ADC的simulation,是在cadence平台下使用的spectre仿真,想要把转换的数字码保存的一个文件中,方便后续处理(由于跑的时间长,我不可能一个一个去看)。请指教
发表于 2012-8-21 13:09:28 | 显示全部楼层




    你写一个veriloga保存文件就可以了。或者直接用理想DAC把数字码转换成模拟输出plot然后按间隔取点就可以了。再把数据导出去fft
发表于 2012-8-21 13:12:18 | 显示全部楼层
存成tbl文件不就行了,在仿真时候。
 楼主| 发表于 2012-8-22 11:57:09 | 显示全部楼层
回复 6# 半支烟


    怎么样写这个verilogA呢,您能不能提供一个
发表于 2014-3-17 16:54:13 | 显示全部楼层
请问能给我发一份这个Verilog代码吗?最好附说明如何使用,我现在手上有个这样的代码,就是仿真结束,没有储存数据,怀疑代码不完整!非常感谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 04:58 , Processed in 0.053721 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表