在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4410|回复: 6

[求助] Prime time 写SDF文件,没有removal check

[复制链接]
发表于 2012-8-2 13:42:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 my2817 于 2012-8-2 13:43 编辑

大家 好,后端在做完PR后提供 了SPEF文件,现在我想用PT通过spef生成sdf,但在生成 的sdf文件 中发现没有removal check,specify block中的removal check path,在写出的SDF中该path成了hold check,详情如下,

specify block:




  1. $width(negedge CKN,1.0,0); $width(posedge CKN,1.0,0);  // hold D-HL CKN-HL  $hold(negedge CKN &&& (ENABLE_SDN === 1'b1),
  2.                 negedge D &&& (ENABLE_SDN === 1'b1),1.0); // hold D-LH CKN-HL  $hold(negedge CKN &&& (ENABLE_SDN === 1'b1),
  3.                 posedge D &&& (ENABLE_SDN === 1'b1),1.0);  // setup D-HL CKN-HL  $setup(negedge D &&& (ENABLE_SDN === 1'b1),
  4.                 negedge CKN &&& (ENABLE_SDN === 1'b1),1.0); // setup D-LH CKN-HL  $setup(posedge D &&& (ENABLE_SDN === 1'b1),
  5.                 negedge CKN &&& (ENABLE_SDN === 1'b1),1.0); // recovery SDN-LH CKN-HL $recovery(posedge SDN,negedge CKN,1.0); // removal SDN-LH CKN-HL  $removal(posedge SDN,negedge CKN,1.0); $width(negedge SDN,1.0,0);


复制代码


sdf written out by PT:




  1.   (TIMINGCHECK    (WIDTH (negedge CKN) (0.301::0.301))    (WIDTH (posedge CKN) (0.222::0.222))    (HOLD (posedge SDN) (negedge CKN) (0.099::0.100))    (RECOVERY (posedge SDN) (negedge CKN) (0.002::0.002))    (WIDTH (negedge SDN) (0.143::0.143))    (SETUP (posedge D)    (COND ENABLE_SDN===1'b1  (negedge CKN)) (0.355::0.355))    (SETUP (negedge D)    (COND ENABLE_SDN===1'b1  (negedge CKN)) (0.349::0.362))    (HOLD (posedge D)    (COND ENABLE_SDN===1'b1  (negedge CKN)) (-0.175::-0.175))    (HOLD (negedge D)    (COND ENABLE_SDN===1'b1  (negedge CKN)) (-0.276::-0.264))  ))


复制代码
 楼主| 发表于 2012-8-2 13:45:34 | 显示全部楼层



更新下,刚发的太乱了:
  



  1.         $width(negedge CKN,1.0,0);

  2.         $width(posedge CKN,1.0,0);

  3.         // hold D-HL CKN-HL
  4.         $hold(negedge CKN &&& (ENABLE_SDN === 1'b1),
  5.                 negedge D &&& (ENABLE_SDN === 1'b1),1.0);

  6.         // hold D-LH CKN-HL
  7.         $hold(negedge CKN &&& (ENABLE_SDN === 1'b1),
  8.                 posedge D &&& (ENABLE_SDN === 1'b1),1.0);

  9.         // setup D-HL CKN-HL
  10.         $setup(negedge D &&& (ENABLE_SDN === 1'b1),
  11.                 negedge CKN &&& (ENABLE_SDN === 1'b1),1.0);

  12.         // setup D-LH CKN-HL
  13.         $setup(posedge D &&& (ENABLE_SDN === 1'b1),
  14.                 negedge CKN &&& (ENABLE_SDN === 1'b1),1.0);

  15.         // recovery SDN-LH CKN-HL
  16.         $recovery(posedge SDN,negedge CKN,1.0);

  17.         // removal SDN-LH CKN-HL
  18.         $removal(posedge SDN,negedge CKN,1.0);

  19.         $width(negedge SDN,1.0,0);


复制代码





  1.   (TIMINGCHECK
  2.     (WIDTH (negedge CKN) (0.301::0.301))
  3.     (WIDTH (posedge CKN) (0.222::0.222))
  4.     (HOLD (posedge SDN) (negedge CKN) (0.099::0.100))
  5.     (RECOVERY (posedge SDN) (negedge CKN) (0.002::0.002))
  6.     (WIDTH (negedge SDN) (0.143::0.143))
  7.     (SETUP (posedge D)    (COND ENABLE_SDN===1'b1  (negedge CKN)) (0.355::0.355))
  8.     (SETUP (negedge D)    (COND ENABLE_SDN===1'b1  (negedge CKN)) (0.349::0.362))
  9.     (HOLD (posedge D)    (COND ENABLE_SDN===1'b1  (negedge CKN)) (-0.175::-0.175))
  10.     (HOLD (negedge D)    (COND ENABLE_SDN===1'b1  (negedge CKN)) (-0.276::-0.264))
  11.   )


复制代码
 楼主| 发表于 2012-8-2 13:50:06 | 显示全部楼层


更新下,刚发的太乱了:
my2817 发表于 2012-8-2 13:45



   出问题的就是specify block中的第25行与sdf timecheck的第4行
 楼主| 发表于 2012-8-2 16:36:16 | 显示全部楼层
顶更健康………………
发表于 2013-5-14 11:08:53 | 显示全部楼层
我也是发现了这个现象,感觉没什么问题啊?
发表于 2013-5-14 21:56:13 | 显示全部楼层
试一下pt写出sdf3.0格式的sdf
发表于 2013-7-20 17:35:07 | 显示全部楼层
顶,顶更健康………………
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 04:33 , Processed in 0.027633 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表