在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 14192|回复: 14

[求助] 求助:uvm中的寄存器模型后门访问

[复制链接]
发表于 2012-7-30 18:45:27 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
急盼各位大侠指点迷津:

uvm中的寄存器模型中的后门访问机制是怎么样的呀,手册上写的太模糊了,只是说绕过物理总线,mimic模拟。
1 后门访问不通过总线配置,那么还是不是真实访问dut中的寄存器呢?如果是的话,怎么做到直接访问dut中的寄存器的(不要简单的说通过逻辑路径哈)呢,感觉想要直接改变dut的寄存器值,就涉及到信号层了。
2 或者后门访问只是寄存器模型自己的一个copy备份值,来模拟dut寄存器的行为?
3 后门模式read/write和peek/poke的实现原理有和区别?

谢谢!
发表于 2012-7-30 22:42:10 | 显示全部楼层
好久没看了,就我的了解,后门还真就是给它一个逻辑路径,它直接找到深层次的信号的说,SV本来就支持层级调用信号的嘛。其他的因为没有深入研究过,所以也不好回答。推荐你到国外的UVM论坛区问问,呵呵,国外搞得如火如荼的。
发表于 2012-7-31 20:22:48 | 显示全部楼层
我理解是使用backdoor需要提供hierarchy, 有一个函数add_hdl_path。通过hierarchy reference方式访问rtl register。
read/write既有front door模式,也有back door模式。peek/poke是backdoor模式的函数。有一个区别是后者调用时会自动更新mirrored/desired value,前者需要predictor。
发表于 2012-7-31 21:33:48 | 显示全部楼层
backdoor 跟force signal差不多, 不耗费仿真时间
在chip-level验证的时候  backdoor这种方式可能不行,  根据你具体芯片的实际情况来吧
 楼主| 发表于 2012-8-2 19:16:21 | 显示全部楼层
多谢各位热情的解答,现在我弄明白了,的确如大家所言,总结一下,后门访问的机制是,首先用户指定逻辑路径,然后uvm最终会调用dpi的c语言相关函数,通过pli来直接访问寄存器!
发表于 2013-4-11 23:43:10 | 显示全部楼层
用过vmm的后门;
就是整一个后门路径的文件,把寄存器在dut中的层次定义出来
比如:'define HDL_reg_xx $root.DUT.xx_inst_reg.xx
最后一级就是例化的寄存器里面的reg信号
编译的时候把文件include进去编译就ok了
发表于 2013-9-2 14:31:51 | 显示全部楼层
学习了...很好...
发表于 2014-9-19 15:20:07 | 显示全部楼层
你是怎么弄明白的?
发表于 2014-9-20 09:54:35 | 显示全部楼层
学习了,正好解惑
发表于 2015-4-10 22:35:32 | 显示全部楼层
学习了,谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 11:58 , Processed in 0.032558 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表