在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2185|回复: 5

[讨论] PT没有问题,为什么仿真时报timing violation

[复制链接]
发表于 2012-7-27 16:55:42 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
但时钟系统,P&R后经PT分析没有Violation。但是仿真出现了setup和hold violation,不知道是什么问题引起的,谢谢。 CLK 加了CLK BUFFER
 楼主| 发表于 2012-7-27 17:54:30 | 显示全部楼层
具体的时钟综合脚本如下
  
create_clock -period 18 -name CLK -waveform {0 9} {clk}  
set_clock_latency 1.4 CLK
set_clock_uncertainty -setup 0.75 CLK
set_clock_transition -max 0.9888 CLK
set_ideal_network {clk}
  
set_input_delay 1 -clock CLK {di}
set_output_delay 1 -clock CLK {so}
  
#########################
set_max_transition 0.9888 $top_design  
set_max_fanout 16 $top_design
  
set_false_path -from [get_ports rst_n]
set_ideal_network [get_ports rst_n]
set_dont_touch  [get_ports rst_n】
发表于 2012-7-27 18:23:39 | 显示全部楼层
SDF文件有没有加错?
发表于 2012-7-27 18:24:18 | 显示全部楼层
仿真时序与STA时序不一致
 楼主| 发表于 2012-7-27 19:47:20 | 显示全部楼层
是不是需要把set_input_delay 1 -clock CLK {di}
设置成set_input_delay -max 1 -min 0 -clock CLK {di}
谢谢
 楼主| 发表于 2012-7-27 19:48:24 | 显示全部楼层
回复 3# magic14


    没有的啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-29 06:45 , Processed in 0.040740 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表