在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10759|回复: 7

[求助] ncverilog后仿时怎样反标sdf?

[复制链接]
发表于 2012-7-18 13:42:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
已经生成网表,但是lib.v里边的延迟不对,都是1ns,因此需要把综合生成的sdf加进来。不知道ncverilog用什么命令?这是本人写的sim.bat
ncvlog -LOGFILE log.txt -errormax 1000 -update ./tsmc18.v
ncvlog -LOGFILE log.txt -append_log -errormax 1000 -update mult_compiled.v
ncvlog -LOGFILE log.txt -append_log -errormax 1000 -update tb_mult.v
ncsdfc Multiply.sdf      //求问各位大牛,这个命令是反标sdf文件吗?为什么我用了以后没有什么作用啊?
ncelab  -timescale 1ns/10ps -access +wcr tb_mult_compare -update
ncsim   -GUI tb_mult_compare


ncsdfc Multiply.sdf  求问各位大牛,这个命令是反标sdf文件吗?为什么我用了以后没有什么作用啊?
发表于 2012-7-18 14:38:52 | 显示全部楼层
在testbench里面加,用$sdf_annotate()
 楼主| 发表于 2012-7-18 15:07:38 | 显示全部楼层
回复 2# 兵临城下

我查到的$sdf_annotate("sdf_filename","module_instance","scale_factor");求问:这个module_instance是哪个文件里的module.
比如rtl文件f1.v
module aaa(a,b,c);input
output  
XXXXXX
endmodule

testbench文件名tb.v里边有
aaa u1(x,y,z);
那么在testbench里写的sdf_annotate第二个参数应该是什么?
还有这个scale_factor怎么设定?
求大牛解答
 楼主| 发表于 2012-7-19 08:47:12 | 显示全部楼层
自顶,继续求助。
发表于 2012-7-19 08:53:00 | 显示全部楼层
我是用 ncsdfc将sdf文件转化为sdf.X文件,然后写一个sdf command file,指定一下sdf.X文件的位置,反标于哪个模块。elab的时候将这个sdf command file加进去
 楼主| 发表于 2012-7-19 09:05:38 | 显示全部楼层
回复 5# magic14

您能具体说一说,sdf command file 怎么写吗。 elab的时候将这个sdf command file加进去 ,这个elab是什么?您说的是ncelab  -timescale 1ns/10ps -access +wcr tb_mult_compare -update这句命令吗?
发表于 2012-7-19 13:59:56 | 显示全部楼层
回复 3# zqszjmzy


  你这里的module指的是U1,scale_factor可以空着不设
发表于 2016-9-9 15:36:22 | 显示全部楼层
sdf command file 的写法compiled_sdf_file  *.sdf.X  SCOPE  :module_name
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-12-29 22:20 , Processed in 0.022328 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表