在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2706|回复: 3

[求助] 一个简单的verilog初值问题。

[复制链接]
发表于 2012-7-1 09:58:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
2012-07-01 09-46-55_10.43.31.png 2012-07-01 09-46-17_10.43.31.png 2012-07-01 09-47-16_10.43.31.png 小弟想问个简单的verilog的初值问题·。上面是个DFF的verilog代码。后面两幅图是仿真结果,图中的粉红色的仿真结果为Q的输出,可以看出初值为0。但是我想问的是,此时reset为高电平,而且posedge CLk也不满足,为何会有初值,为何(RST!)会执行,会复位?很困惑,照理说应该是不定态吧......
发表于 2012-7-1 11:45:09 | 显示全部楼层
psoedge CLK不满足,reset为高的时候出现的低点平Q输出,和posedge CLK满足,reset为高的时候出现的高电平Q输出,都是复位前的不定态。因为你的DFF代码中规定了RST低电平复位。为什么会产生不定态呢,很简单,你的代码没规定这个状态啊。这个代码只规定了沿触发的时候的行为。
 楼主| 发表于 2012-7-1 14:41:03 | 显示全部楼层
本帖最后由 flyawayfc 于 2012-7-1 14:44 编辑

回复 2# amodaman


    嗯·,我想我问得不太清楚吧,看仿真结果,有初态,为0啊(粉红色的),绿色的线为时钟,红色的为RST,初态应该是不定态啊,对不对?但仿真显示为0态。always语句块不满足的情况下,为何会有初态(0)?
发表于 2012-7-1 15:42:47 | 显示全部楼层
这个要看仿真器怎么处理这个未定义的状态了吧. 你的代码反正没定义这个, 出什么都是你不关心的. 如果要定义就应该能明确看到输出. 或者你给一个不一样的初始值在节点上,看看有没有变化.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-21 20:14 , Processed in 0.023463 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表