在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4262|回复: 8

[求助] quartus和ISE开始支持systemverilog了吗?

[复制链接]
发表于 2012-5-14 21:02:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
有没有人用quartus或者ISE做可综合的代码?交流下,好用不?有没有综合器可以综合?
 楼主| 发表于 2012-5-14 21:28:17 | 显示全部楼层
发表于 2012-5-14 21:54:10 | 显示全部楼层
一般都导入modelsim下面支持了。
发表于 2012-5-14 22:55:29 | 显示全部楼层
都支持,ISE在创建工程时可选,Quartus在工程设置里可选
发表于 2012-5-15 11:20:07 | 显示全部楼层
回复 4# Timme


   哪个版本的ISE,最新的14.1吗?能贴图么?
发表于 2012-5-22 12:32:47 | 显示全部楼层
支持是支持,但是是不是综合与布线的时候也支持,感觉应该只是支持语法吧?
发表于 2012-5-24 10:19:39 | 显示全部楼层
用Synplify Premier综合时就不用考虑语言的问题了,一切很match,我一直在用synplify Premier做FPGA设计的综合
发表于 2012-5-24 11:30:27 | 显示全部楼层
回复 1# wodish


   支持可综合部分
发表于 2014-8-7 14:59:47 | 显示全部楼层
已经支持了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 02:04 , Processed in 0.039505 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表