在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3379|回复: 4

[原创] dc warning 求助

[复制链接]
发表于 2012-5-2 16:10:02 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Dc 综合warning:
The register 'c1c2_reg[2]' may not be optimally
implemented because of a lack of compatible components with correct clock/enable phase.

请问什么意思? 求大大赐教
 楼主| 发表于 2012-5-2 16:59:29 | 显示全部楼层
自己顶一下!
发表于 2012-5-2 17:39:32 | 显示全部楼层
没关系吧,就是没有perfect effect,
 楼主| 发表于 2012-5-2 18:20:53 | 显示全部楼层
回复 3# icfbicfb


    谢谢关注啊;对流片没影响吧。
发表于 2023-8-15 17:47:37 | 显示全部楼层
OPT-1205 (warning) The register %s' may not be optimally
implemented because of a lack of compatible components with
correct clock/enable phase
DESCRIPTION
The initial sequential mapping step tries to match the desired reqister clock phase
exactly. When this matching fails due to a difference in clock phase, the mapper
will attempt to avoid building a register with an incorrect clock/enable phase. When
that happens, this warning is issued .
WHAT NEXT
If you want compile to initially build a register with the opposite clock/enable
phase, then you can use the variable compile automatic clock phase inference to
alter the way that mapping operates Setting this variable to "none" will instruct
the initial sequential mapping to ignore clock phase entirely during this process
thus allowing the mapper to consider registers with both positive and negative
clock/enable phase as needed. Setting this variable to "relaxed" will instruct
sequential mapping to only try the opposite phase device if there is no other way to
implement the register with the automatically inferred phase. And, setting the
variable to "strict" (the default setting) will instruct the initial sequential
mapping to reject all candidate components whose clock/enable phase does not match
the automatical1y inferred phase.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 20:43 , Processed in 0.021090 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表