在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2232|回复: 7

[讨论] 在线求助:clock delay

[复制链接]
发表于 2012-4-5 11:09:38 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
假设有一外部时钟clka和数据data, data和clka相关,
现在由于设计需求,需要在clk后加一个器件后再引入core,即clkb,
此器件会使clk信号产生延迟,延迟的时间是ff:2.2ns到ss:6.4ns,
这个时间的不定,肯定会导致时序问题,请问我该如何写约束文件?

是用           set_clock_uncertainty 4.2 -from [get_clocks clka] -to [get_clocks clkb]    好?
还是用        set_input_delay  6.4 -max 2.2 -min -clock [get_clocks clkb] [all_inputs]   好?
还是用        set_clock_latency 6.4 -max 2.2 -min -clock [get_clocks clkb]                  好?
发表于 2012-4-5 13:02:50 | 显示全部楼层
set_clock_latency -source -max  6.4 -clock [get_clocks clkb]
set_clock_latency -source -min 2.2 -clock [get_clocks clkb]
 楼主| 发表于 2012-4-5 13:04:14 | 显示全部楼层
陈老大,帮帮我吧,我知道问的很低级,我刚入门
 楼主| 发表于 2012-4-5 13:06:25 | 显示全部楼层
谢谢老大!
 楼主| 发表于 2012-4-5 13:09:33 | 显示全部楼层
陈老大,可以讲下为什么不能用另外两个吗?
发表于 2012-4-5 13:14:05 | 显示全部楼层
去比较latency,uncertainty和input_delay的定义
 楼主| 发表于 2012-4-5 13:20:01 | 显示全部楼层
那使用另外两个会有问题吗?会有什么问题?
发表于 2012-4-6 20:55:01 | 显示全部楼层
input_delay针对data path。 clock latency针对clock path
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 02:01 , Processed in 0.028437 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表