在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4733|回复: 16

[求助] FPGA毛刺的困扰

[复制链接]
发表于 2012-3-29 23:10:06 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
就是一个很简单的计数器的程序,后仿的时候就出毛刺了,用的是ep1t6240系列的,用的时钟50MHz,。我不明白是quartusII自己的仿真容易出毛刺,还是调用第三方的时候也容易出毛刺。请问一下应该怎么消除,是跟时序约束有关吗,还是什么?
发表于 2012-3-30 02:06:35 | 显示全部楼层
E. 这个肯定跟设计有关的.

把源程序和波形贴上来.给大伙看看
发表于 2012-3-30 08:14:10 | 显示全部楼层
先仔细看看程序有没有错。后仿肯定有毛刺,但只要数据在时钟上升沿前后稳定就行了。
发表于 2012-3-30 08:54:32 | 显示全部楼层
楼上有理
发表于 2012-3-30 15:35:31 | 显示全部楼层
组合电路容易产生毛刺,最好所有的都用时序电路,个人理解。
 楼主| 发表于 2012-3-30 19:47:21 | 显示全部楼层
回复 3# guoyu 跟布局布线没有关系吗?
 楼主| 发表于 2012-3-30 20:15:11 | 显示全部楼层
本帖最后由 hexuezu 于 2012-3-30 20:16 编辑

回复 2# gordon_m
module mod1(clk,clr,counter,en);
input clk,clr,en;
output  [3:0]counter;
wire clk,clr,en;
reg [3:0]counter;
reg [3:0] counter_reg;
always @(posedge clk)
begin
  if (!clr)
  counter_reg<=4'b0000;
  else if(en)
  counter_reg<=counter_reg+4'b0001;
end
always @(posedge clk)
counter<=counter_reg;
endmodule
wave.bmp
 楼主| 发表于 2012-3-30 20:17:44 | 显示全部楼层
回复 5# 110500623 就是用的时序电路,但是还是有毛刺
发表于 2012-3-31 10:31:01 | 显示全部楼层
要正确对待毛刺,时序电路只要在clk有效沿附近没有毛刺就没有问题,计数器毕竟是组合逻辑,一定会出现竞争冒险,不碍事的。
发表于 2012-3-31 15:04:30 | 显示全部楼层
额,少用组合逻辑吧
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-27 10:16 , Processed in 0.026042 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表