|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
各位大侠,我在做NCO的仿真。现在要把产生的生的正余弦信号导出来进行分析,自己写的testbench,虽然生成了用于存储数据的文本文档 但是 文档里面只有一个x 这是怎么回事啊?程序如下,求指教
``timescale 1ns/1ns
module DDS_CORDIC_testbench;
reg clk;
reg rst;
reg [31:0]delta ;
reg [9:0]i ;
wire signed [15:0] x_o, y_o;
integer x_file;
integer y_file;
// Clock Generation
initial
begin
clk = 0;
delta=32'd42949673;
i=0;
rst = 1;
#12 rst = 0;
#1000 $stop;
end
always
#5 clk = ~clk;
initial
begin
x_file=$fopen("x_o.txt");
y_file=$fopen("y_o.txt");
end
always@(clk)
begin
$fdisplay(x_file,"%h",x_o);
$fdisplay(y_file,"%h",y_o);
if(i<250)
i=i+1;
else
$stop ;
$fclose(x_file);
$fclose(y_file);
end
// Install Moudle Under Test
DDS_CORDIC dut
(
.clk(clk),
.rst(rst),
.delta(delta),
.x_o(x_o),
.y_o(y_o)
);
endmodule |
|