在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 16959|回复: 14

[求助] DC 关于set_false_path的问题求助

[复制链接]
发表于 2012-3-28 14:39:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
系统有两个外部输入时钟,希望设置set_false_path,对所有clk1和clk2之间的路径不做优化,命令如下      set_false_path  -from [get_clock clk1] -to [get_clock clk2]
      set_false_path  -from [get_clock clk2] -to [get_clock clk1]
DC报错如下:
Warning: Can't find object '[get_clock indesign 'test_block'
Error : Design object list required for the '-from' argument
Error : Unexpected argument 'clk1]'
Warning: Can't find object '[get_clock indesign 'test_block'
Error : Design object list required for the '-to' argument
Error : Unexpected argument 'clk2]'
求助问题如下:

1.这句语法有什么错误?该怎么改?
2.如果系统里即有clk1 到clk2的路径,又有clk2到clk1的路径,是否要分别对这两类路径做set_false_path设置?
发表于 2012-3-28 14:49:20 | 显示全部楼层
使用命令get_clock之前要先create_clock,不知是不是因为这个。
发表于 2012-3-28 14:52:48 | 显示全部楼层
这句话本身是没有问题的, 先report_clock 看一下你的clock定义是否正确
 楼主| 发表于 2012-3-28 15:04:24 | 显示全部楼层
clk1和clk2都是外部端口,定义如下:
creat_clock -name "clk1"  -period 20 {"clk1"}
creat_clock -name "clk2"  -period 20 {"clk2"}
这样定义会报错
发表于 2012-3-28 16:25:18 | 显示全部楼层
回复 1# macer_yang


    man 一下可以看看命令的语法结构的,应该两个路径都要set_false_path的,好像是get_clocks吧~
发表于 2012-3-28 16:59:50 | 显示全部楼层
create_clock -name clk1  -period 20 [get_ports clk1]
发表于 2012-3-28 22:01:32 | 显示全部楼层
我不知道你create时钟的时候,是否和你这里帖子写的一样,create_clock。不是creat_clock。
另外你报告的ERROR很明显是你脚本的语法问题,应该是脚本写错了
还有就是脚本最好不要用工具的语法去写,用tcl语法
(看看你和6楼的区别)
发表于 2012-3-29 13:47:10 | 显示全部楼层
看一下 from &to 的用法
from : input or clk
to:  output or pin except clk
发表于 2012-4-12 09:45:35 | 显示全部楼层
create_clock -name clk1 -period 20 [get_ports clk1]
create_clock -name clk2 -period 20 [get_ports clk2]
set_false_path -from [get_clocks clk1] -to [get_clocks clk2]
set_false_path -from [get_clocks clk2] -to [get_clocks clk1]
发表于 2012-4-12 10:27:08 | 显示全部楼层
用9搂的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 20:58 , Processed in 0.035407 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表