在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: macer_yang

[求助] DC 关于set_false_path的问题求助

[复制链接]
发表于 2012-8-10 16:59:30 | 显示全部楼层
9楼正解。

你也可以加上占空比的信息,如下:
create_clock -name clk1 -period 20 -waveform {0 10} [get_ports clk1]
发表于 2013-7-3 09:35:56 | 显示全部楼层
看不懂啊啊啊啊
发表于 2016-1-27 11:26:10 | 显示全部楼层
Good ,好樣!!
发表于 2016-1-27 15:40:01 | 显示全部楼层
get_clocks
发表于 2016-5-12 16:51:36 | 显示全部楼层
多谢九楼
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 21:13 , Processed in 0.020059 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表