在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2756|回复: 7

[求助] 请问:验证时检查信号变化都有什么好的方法?

[复制链接]
发表于 2012-3-12 11:29:06 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问:验证时检查信号变化都有什么好的方法? 比如:
1. 如何检查一个信号的输出电平变化?
2. 如何检查一个时钟的频率?
 楼主| 发表于 2012-3-12 16:52:37 | 显示全部楼层
顶一下
 楼主| 发表于 2012-3-13 08:27:23 | 显示全部楼层
没人回答吗?
发表于 2012-3-13 12:56:14 | 显示全部楼层
验证的话,电平变化最简单的就是@该信号的边沿了。时钟频率的话,同理,激活一个计数器就好了。
 楼主| 发表于 2012-3-13 13:49:04 | 显示全部楼层
回复 4# gaurson



1. @信号是可以检测到电平变化。如果要在某个时间段,判断信号是否是某种确定电平呢?(该信号可能相对于前一段时刻没有变化)
2. 用计数器检测时钟频率?  计数器记到某个确定值,记录前后两个时间点(用$time返回当前仿真时间),做运算?
发表于 2012-3-14 12:42:43 | 显示全部楼层
最好把你的场景描述清楚,以便于大家给你解答,不要一点一点的扩展。
发表于 2012-3-20 08:44:33 | 显示全部楼层
1. @信号是可以检测到电平变化。如果要在某个时间段,判断信号是否是某种确定电平呢?(该信号可能相对于前一段时刻没有变化)
#(some_time);
assert(signal_name == value_expect);

2. 用计数器检测时钟频率?  计数器记到某个确定值,记录前后两个时间点(用$time返回当前仿真时间),做运算?
time t1, t2;
time time_expect = time_value;
@(posedge clock);
while(1) begin
    t1 = $realtime();
    @(posedge clock);
    t2 = $realtime();
    assert((t2-t1)==time_expect);
end
发表于 2012-3-20 09:35:22 | 显示全部楼层
回复 1# sanfranc


    你可以用$strobe, $monitor, $display, $write等系统函数,当然也可以荡波形来看。

至于时钟频率就简单了,看你写测试平台的时候,可以自己设定,也可在波形上量。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 08:08 , Processed in 0.030663 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表